Welcome![Sign In][Sign Up]
Location:
Search - lib

Search list

[SCMix2-lib-spi-1_2_0

Description: ix2-lib-spi-1_2_0.zip源码-ix2-lib- spi-1_2_0.zip FOSS
Platform: | Size: 19456 | Author: zhaogang | Hits:

[ARM-PowerPC-ColdFire-MIPShanzi.lib

Description: ucgui 汉字字库,应用在嵌入式开发可产品的设计中-ucgui Chinese characters, application development can be embedded in the product design
Platform: | Size: 138240 | Author: | Hits:

[2D Graphicltilib

Description: LTI-Lib is an object oriented computer vision library written in C++ for Windows/MS-VC++ and Linux/gcc. It provides lots of functionality to solve mathematical problems, many image processing algorithms, some classification tools and much more... -LTI-Lib is an object oriented computer vis ion library written in C for Windows/MS-VC and L inux/gcc. It provides lots of functionality to solve mathematical problems. many image processing algorithms. some classification tools and much more
Platform: | Size: 4583424 | Author: pierre | Hits:

[ADO-ODBCsqlite_res

Description: sqlite3,编译好的dll用于VC,内部有头文件,lib文件和dll文件.将其插入vc工程可以进行sqlite的操作-sqlite3, compiled dll for the VC, head of internal documents, lib dll files and documents. will be inserted vc project to carry out the operation sqlite
Platform: | Size: 173056 | Author: jiaopeng | Hits:

[Windows CEGAPI_AClock

Description: GAPI编写的屏显函数,EVC++ 4.0环境下调试通过。 需要GAPI 库: GX.H GX.LIB GX.DLL -GAPI prepared by the screen function, EVC++ 4.0 through debugging environment. GAPI the need : GX.H GX.LIB GX.DLL
Platform: | Size: 20480 | Author: 黄天佩 | Hits:

[source in ebookvc_math_arithmetic

Description: 《VC++和BC++数值分析类库》光盘内含C++数值分析动态连接库(包括动态库文件*.dll和入口库文件*.lib及头文件*.h),分Visual C++和Borland C++(Builder)两个版本。另有一个曲线绘制程序Plot.exe 。 -"VC and BC numerical analysis class library" CD-ROM containing C Numerical Analysis Dynamic Link Library (DLL including document* . dll and import lib*. libraries and header files*. h),- Visual C and Borland C (Builder) two versions. There is a procedure Plot.exe curves.
Platform: | Size: 5390336 | Author: 何爽 | Hits:

[Special EffectsCxImage_Lib

Description: CxImage Lib 一个用于读取多种图开文件格式的库: 支持的格式有:BMP,GIF,ICO,JP2,FPC,FPG,PCX,PNG,PNM,RAS,TGA,TIF等等。 -CxImage Lib read for a variety of plans to open a file format for : to support the format : BMP, GIF, ICO, JP2, FPC, FPG, PCX, PNG, PNM, RAS, TGA, TIF, and so on.
Platform: | Size: 3609600 | Author: 华文广 | Hits:

[OS programwmstub

Description: 流媒体开发的wmstub.lib,微软控制ASF文件开发包中的文件-development of the streaming media wmstub.lib, Microsoft control ASF development package of documents
Platform: | Size: 167936 | Author: 杨朋飞 | Hits:

[OpenGL programpengl

Description: 包含了所有的opengl头文件.h 库文件.lib 和dll文件. 如:opengl32.dll opengl32.lib glew32.dll glut32.dll glu32.dll glut32.lib glew32.dll glew32.lib 等-contains all opengl headers. H the paper. Lib and dll document. Such as : opengl32.dll opengl32.lib glew32.dll glut32 . dll glu32.dll glut32.lib glew32.dll glew32. lib, etc.
Platform: | Size: 692224 | Author: ssdfd | Hits:

[ADO-ODBCADOLib2

Description: STL+ADO编程Lib库,用于在没有MFC支持下的Win书库库编程,此为VC6工程-STL ADO programming Lib basement, not for the support of the MFC Win Stack the programming, This works for the VC6
Platform: | Size: 299008 | Author: daixiaohua | Hits:

[Communicationmediastreamer2andlib

Description: 经过测试,在vc++下编译通过,并且包含lib库,有需要的同志可以直接使用-After testing, vc under compile, and includes lib work, it needs direct access to comrades
Platform: | Size: 217088 | Author: icepeak | Hits:

[Linux-Unixlib

Description: linux库文件,非常详细的源代码,在其他网络上多次下载-linux libraries, the very detailed source code, the other networks repeatedly Download
Platform: | Size: 6144 | Author: king | Hits:

[Otherpthread

Description: Pthread lib库完整说明文档,很好用,推荐!-Pthread lib a complete description of the document library, very good, and recommended!
Platform: | Size: 241664 | Author: henda | Hits:

[MiddleWaresdl-1.2.11(symbian)(2.1)

Description: SDL lib, 跨平台的简单的多媒体库-SDL lib, a simple cross-platform multimedia library
Platform: | Size: 3836928 | Author: zj | Hits:

[GDI-BitmapGDIPlus(GDI+)

Description: GDI+,开发包下载,压缩包里有动态连接库、LIB文件和所有头文件,安装后就可以使用-GDI+, Development kit download, compression bag has dynamic link library, LIB files and all the header files, the installation can be used after
Platform: | Size: 848896 | Author: 阿辉 | Hits:

[SCMavrlib

Description: this the AVR lib who used to design the project for AVR chips
Platform: | Size: 1913856 | Author: wuqinghua | Hits:

[Otherwmvcore_and_wmstub

Description: wmvcore.lib wmstub.lib, directshow 有些程序需要的两个lib-wmvcore.lib wmstub.lib, directshow Some procedures need two lib
Platform: | Size: 168960 | Author: | Hits:

[Button controlLib

Description: 外国老写的vista风格的控件,很漂亮,压缩包里有源码和例子,还有说明,很详细,这个是lib部分-Written by foreign old vista style controls, very beautiful, compression bag and has source code examples, but also shows that a very detailed, this is part lib
Platform: | Size: 345088 | Author: | Hits:

[OpenGL programgreedy

Description: 集装箱的装箱问题: *给定一个集装箱,其长为L,宽为W和高为H,现有一批圆柱形木材,每根木材 *的长均为L,但是半径不同,设第i根木材半径为ri。问如何装箱,使得集装 *箱的空间利用率最高?使用贪心算法解决。 *编译方法:打开cpp文件,在编译的同时建立工程,打开 "settings->link" *在link项的Object/library modules:中加入Opengl32.lib glu32.lib glaux.lib-Container packing problem: given a container, and its length L, width W and height H, the current batch of cylindrical timber, each timber* long are L, but the radius is different for the first i-kan timber radius ri. Asked how the packing, making me be assembled in* the maximum utilization of space? Use greedy algorithm to solve.* Compiler Methods: Open cpp file, compiled at the same time in the establishment of the project, open the settings-
Platform: | Size: 63488 | Author: 章为到 | Hits:

[OpenGL programzonghe

Description: *算法的综合应用: * 有m台不同的机器,n个不同的工件。每个工件有多道工序,每道工序由指定的 *机器在固定的时间内完成。一道工序一旦开始处理,就不能中断。每台机器一 *次只能处理一道工序。一个调度就是决定每台机器上工序的处理顺序,使得机 *器完成所有工件的时间最短。具体的,该问题就是要求在满足(1)、(2)两 *个约束条件的前提下,确定每台机器上工序的顺序,使加工的时间跨度(从开 *始加工到全部工件都加工完所需要的时间)达到最小。其中,(1)表示工件 *约束条件:对每个工件而言,机器对它的加工路线是事先确定的;(2)表示 *机器约束条件:对每台机器而言,一次只能对一道工序进行加工。 * 编译方法:打开cpp文件,在编译的同时建立工程,打开 "settings->link" *在link项的Object/library modules:中加入Opengl32.lib glu32.lib glaux.lib
Platform: | Size: 366592 | Author: 章为到 | Hits:
« 1 2 3 4 5 6 78 9 10 11 12 ... 50 »

CodeBus www.codebus.net