Welcome![Sign In][Sign Up]
Location:
Search - led matrix vhdl

Search list

[Other resourceLED点阵

Description: 大屏幕led点阵显示的驱动时序。 使用vhdl语言描述。其中rom文件可以使用lpm_megcore自动生成。-big screen led to the dot matrix display driver timing. The use of VHDL description language. Rom which documents can be automatically generated using lpm_megcore.
Platform: | Size: 3887 | Author: 王卫 | Hits:

[OtherLED_DEMO_64X32

Description: LED 显示 的小程序主要用于获得点阵码串口通信的自己设置-LED display of small programs mainly for access to serial dot matrix codes set up their own communications
Platform: | Size: 14336 | Author: | Hits:

[VHDL-FPGA-VerilogLED点阵

Description: 大屏幕led点阵显示的驱动时序。 使用vhdl语言描述。其中rom文件可以使用lpm_megcore自动生成。-big screen led to the dot matrix display driver timing. The use of VHDL description language. Rom which documents can be automatically generated using lpm_megcore.
Platform: | Size: 4096 | Author: 王卫 | Hits:

[VHDL-FPGA-Verilogvhdl_LED

Description: 点阵显示实验示例使用说明 使用模块有:时钟源模块、点阵显示模块,脉冲沿模块。 使用步骤: 1. 打开电源+5V。 2. 信号连接,按下表将1K30信号与实际模块连接好。 3. 1K30板连接好并口线,并将程序加载 4. 脉冲沿模块的按键MS1为复位清零键,灯灭时有效,点阵块上会显示汉字。 -lattice experimental use of the use of sample modules : clock source modules, dot-matrix display module, pulse along the module. Use steps : 1. Turn the power 5V. 2. Signal connectivity, the table below will 1K30 signal with the actual module linking well. 3. 1K30 good parallel plate connecting lines and procedures for loading 4. Pulse module along the MS1 to reset button, reset button, when the lights were effective, Lattice pieces will be shown on Chinese characters.
Platform: | Size: 333824 | Author: 刘浪 | Hits:

[VHDL-FPGA-VerilogFPGA_drives_LED

Description: 本压缩文件包含:使用VHDL来实现对LED的静态显示,实现对LED的动态显示。-the compressed file contains : VHDL use of LED to achieve the static, LED to achieve the dynamic display.
Platform: | Size: 1024 | Author: 卢吉恩 | Hits:

[assembly languageVHDLLED

Description: 用VHDL设计8*8点阵显示阵字~~~~!-8* 8 character dot-matrix display RUF ~~~~!
Platform: | Size: 2048 | Author: sfdfsdf | Hits:

[VHDL-FPGA-VerilogLED

Description: 基于alteraCPLD芯片的VHDL点阵滚动显示源代码-VHDL-based alteraCPLD chip dot matrix rolling display the source code
Platform: | Size: 108544 | Author: 林晋阳 | Hits:

[VHDL-FPGA-VerilogLEDhanzigundong_VHDL

Description: 本文主要讨论了使用EDA工具设计汉字滚动显示器的技术问题。文中首先描述了基于现场可编程门阵列(FPGA)的硬件电路;然后研究了在8×8LED发光二极管点阵上显示滚动汉字的原理,并给出了基于ALTERA的参数化模型库LPM描述其功能的VHDL语言程序设计;最后对使用EDA工具软件加工被显示数据文件的方法进行了讨论。-This paper mainly discusses the use of EDA tools for the design of Chinese characters scrolling display technology. First, the description based on field programmable gate array (FPGA) hardware circuit and then studied in the 8 × 8LED LED dot matrix display scroll principle characters, and gives ALTERA based on parameterized model library LPM Description its function in VHDL language programming Finally on the use of EDA software tools for processing data files being displayed method is discussed.
Platform: | Size: 185344 | Author: wang | Hits:

[VHDL-FPGA-Verilogintegrity

Description: 用DE2板子实现的控制64乘64点阵LED的点亮,硬件需要de2板及led点阵-Using DE2 realize the control board 64 x 64 dot matrix LED
Platform: | Size: 1567744 | Author: 任迎 | Hits:

[VHDL-FPGA-VerilogVerilogHDL_code

Description: 几个常用的接口实验的程序代码,用Verilog HDL语言编写的,包括七段数码管、拨码开关、蜂鸣器、矩阵键盘、串口、I2C、跑马灯等。-Some commonly used experimental procedures for the interface code, using Verilog HDL language, including Seven-Segment LED, DIP switch, buzzer, matrix keyboard, serial, I2C, marquees, etc..
Platform: | Size: 1603584 | Author: shsh | Hits:

[SCMtingchechang

Description: 停车场显示是日常生活中使用很平常的系统,停车场显示系统的核心是LED显示。本次设计使用KH-310实验箱,使用点阵模块和数码管模块,根据显示的扫描原理,利用8X8点阵模拟实际停车位并由数码管显示车位信息。- Parking is a show system that everyday life is usual to use the information.parking display system is the core of the LED display. The design of the experimental use of KH-310 boxes, the use of dot-matrix modules and digital control module, according to the scan shows that the principle of the use of dot-matrix 8X8 analog actual parking spaces by the digital display of information.
Platform: | Size: 1097728 | Author: huangyang | Hits:

[Program docled_zfsj

Description: 现场可编程门阵列( FPGA) 是一种可编程逻辑器件, 它具有丰富的I/O 口及内部资源, 编程和修改极为方便, 并且易于扩展和维护, 简化电子电路的设计。本系统采用Altera 公司的FLEX10K作为核心器件, 结合VHDL程序, 实现了对LED 点阵显示字符的控制。-Field programmable gate array (FPGA) is a programmable logic device, which has a wealth of I/O port and internal resources, programming and modification is very convenient and easy to extend and maintain, simplified the design of electronic circuits. The system uses Altera' s FLEX10K as a core device, combined with VHDL program, realized right LED dot matrix display character control.
Platform: | Size: 32768 | Author: x | Hits:

[Embeded-SCM DevelopElectronicLocks

Description: 电子密码锁为三位密码,由输入部分,控制部分和输出部分组成。其中输入部分包括4×4矩阵键盘、弹跳消除电路、键盘扫描电路、键盘译码电路;控制部分包括按键存储电路、密码修改电路、比较电路;输出部分主要是七段译码显示器。当输入三位正确密码时,一个L1发光二极管亮,指示门打开;当输入密码错误时,另外一个发光二极管亮,此时可以通过开锁开关(复位开关)重新输入密码。若想实现密码更改,可重新按下上锁开关设置密码,即实现密码更改功能。-Electronic locks on the three passwords, the input, the control section and output components. Enter some of which include 4 × 4 matrix keyboard, bounce elimination circuit, the keyboard scanning circuit, the keyboard decoder circuit control section includes key memory circuits, the password modify the circuit to compare the circuit output part of the main seven-segment display decoder. When you enter the correct password three, a L1 LED light to indicate the door open When you enter your password wrong, the other a light-emitting diode light, then you can unlock switch (reset switch) to re-enter the password. To achieve the password changes, press the lock switch can be re-set the password, that password change functionality to achieve.
Platform: | Size: 41984 | Author: sunnan | Hits:

[VHDL-FPGA-Verilogkey44

Description: VHDL描述4*4标准矩阵键盘扫描读取并点亮对应的LED灯,试验已经通过。可能还差一些按键的防抖,但不影响整体测试-VHDL description of the standard 4* 4 matrix keyboard scan read and the corresponding LED lights lit the pilot had been adopted. Stabilization may be even worse some of the buttons, it does not affect the overall test
Platform: | Size: 136192 | Author: | Hits:

[VHDL-FPGA-VerilogLED.dot.matrix.display

Description: LED点阵显示器 利用LP-2900实验仪Altera模块上的PLD器件,编写VHDL程序,设计一个扫描控制电路,在H区的8*8型LED点阵上实现点阵的逐点显示,扫描显示的顺序是光点从左上角像素点开始,终止于右下角像素点,然后周而复始地重复运行下去。扫描全部区域大概所需时间为13s。-LED dot matrix display
Platform: | Size: 80896 | Author: duopk | Hits:

[VHDL-FPGA-Veriloglcdasegaled

Description: lcd显示 跑马灯显示 七段数码管计时 12232F是一种内置8192个16*16点汉字库和128个16*8点ASCII字符集图形点阵液晶显示器,它主要由行驱动器/ 列驱动器及128×32全点阵液晶显示器组成。可完成图形显示,也可以显示7.5×2个(16×16点阵)汉字.与外部CPU接口采用并行或串行方式控制。-lcd display Seven-Segment LED Display Marquee is a built-in timing 12232F 8192 16* 16 points and 128 Chinese character library 16* 8 ASCII character set dot matrix liquid crystal display, which is mainly from the line driver/line driver and 128 × 32 full dot matrix liquid crystal display components. Complete graphical display can also show 7.5 × 2 个 (16 × 16 dot) character. And the external CPU interface with parallel or serial control.
Platform: | Size: 1107968 | Author: wws | Hits:

[VHDL-FPGA-Verilogkeyboard

Description: PS2键盘控制数码管输入数字和点阵显示字母-PS2 keyboard control LED dot matrix display input numbers and letters
Platform: | Size: 2048 | Author: 王蕾 | Hits:

[VHDL-FPGA-Verilogvhdl-code-for-led-matrix

Description: vhdl code for the LED matrix
Platform: | Size: 9216 | Author: keerthi | Hits:

[VHDL-FPGA-VerilogLED

Description: VHDL语言编写的LED点阵程序,自己已经调试通过。-LED dot matrix VHDL language program, they have to debug through.
Platform: | Size: 271360 | Author: 吴达 | Hits:

[assembly languageLED-VHDL

Description: 本程序为LED点阵显示的VHDL程序代码,代码注有解释,适合初学者使用-This program is LED dot matrix display VHDL code, the code marked with explanations for beginners
Platform: | Size: 13312 | Author: xuliyue | Hits:
« 12 »

CodeBus www.codebus.net