Welcome![Sign In][Sign Up]
Location:
Search - lcd program in vhdl

Search list

[SCMlcd_control

Description: LCD的驱动显示程序,在SP3实验板上实现.-LCD driver display program, in the experimental board realize SP3.
Platform: | Size: 2048 | Author: mao | Hits:

[Otherlcd1602

Description: FPGA工程文件 通过FPGA在LCD上显示“this is my frist program"的字体 已经验证,供大家学习使用。-FPGA through the FPGA project file in the LCD display
Platform: | Size: 630784 | Author: 马亮 | Hits:

[VHDL-FPGA-Verilogvhdl

Description: 时钟程序 用于FPGA开发板上 在LCD1602上显示时,分,秒,十分之一秒-Clock program for FPGA development board in the LCD1602 display hours, minutes, seconds, tenth of seconds
Platform: | Size: 2048 | Author: lijingfeng | Hits:

[VHDL-FPGA-VerilogLCD_test

Description: LCD_test主要是基于VHDL语言的LCD驱动,该程序很有参考价值-LCD_test mainly based on the VHDL language, LCD driver, the program valuable
Platform: | Size: 3072 | Author: 许小姐 | Hits:

[VHDL-FPGA-Veriloglcd240128_ok

Description: 基于VHDL的1602显示程序,包含完整的源代码,锁脚文件以及下载文件,可直接下载使用-VHDL-based display program in 1602, contains the complete source code, locking pin, as well as download files documents can be directly downloaded using
Platform: | Size: 793600 | Author: 陈泽涛 | Hits:

[Software EngineeringVHDL

Description: 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,最终送入LCD中显示。这样制作出来的频率计不仅可以满足设计题目的参数要求,而且具有了单片机的稳定性和成熟性,且控制能力强,是一种低成本,高可靠的设计方案。-In electronic technology, the frequency is one of the most basic parameters, but also with a number of electrical parameters of the measurement program, the measurement results have a very close relationship between the frequency of measurement, therefore it is even more important. Measurement of the frequency of a number of means, electronic measurement of the frequency counter with high precision, easy to use, rapid measurement, and measurement is easy to realize the advantages of process automation is an important means of measuring the frequency of one. Graduates in this design we have chosen to make use of single-chip digital frequency meter, and used in the actual production of a direct frequency measurement method. Delay arising from the use of gated time-base signal to control the gate time in units of the pulse counter to record the number of calculated frequency of the input signal, and ultimately into the LCD display. This produced not only the frequency of the parameters to
Platform: | Size: 220160 | Author: 张林锋 | Hits:

[OtherLCD

Description: LCD控制器的程序,用VHDL写的,包括仿真程序-LCD controller program, written using VHDL, including the simulation program
Platform: | Size: 5120 | Author: shenyushi | Hits:

[VHDL-FPGA-Verilogtftdot

Description: 我用verilog hdl写的tft lcd屏的控制程序,用来点亮屏上的任意点-I write the program in verilog hdl,it is used to control the tft lcd
Platform: | Size: 1024 | Author: 张颜 | Hits:

[VHDL-FPGA-Verilogshiyanliu

Description: 在eda中利用vhdl语言编写程序,实现在液晶显示屏显示文字-In eda using vhdl language program to realize the text on the LCD display shows
Platform: | Size: 333824 | Author: 华山 | Hits:

[VHDL-FPGA-VerilogLCD12864

Description: 1 fpga驱动lcd液晶12864的verilog源程序 (显示英文,可以在源程序中直接修改成自己想要显示的英文) 2 引脚配置完成,程序已经测试,完全好用 3 使用的FPGA芯片是altera的max2EP2C5T1-1 fpga driver' s verilog source code 12864 lcd LCD (display in English, you can directly modify the source program into what you want displayed in English) 2-pin configuration is completed, the program has been tested, completely easy to use 3 FPGA chip is used in the altera max2EP2C5T144
Platform: | Size: 619520 | Author: pomao | Hits:

[VHDL-FPGA-VerilogLCD_VHDL

Description: 基于quartus ii 开发软件的LCD控制工程文件,含vhdl语言编写的程序,及时序和功能仿真文件。-Quartus ii software development based on the LCD control engineering documents, including a program written in vhdl, and functional and timing simulation files.
Platform: | Size: 875520 | Author: 冯丹 | Hits:

[VHDL-FPGA-VerilogLCD2

Description: 用VHDL编写的LCD程序,可以显示我公司网址。-LCD program written in VHDL, it can show our company website.
Platform: | Size: 572416 | Author: 夏晓 | Hits:

[VHDL-FPGA-Verilog8.3-LCD-control-VHDL-program

Description: 功能:FGAD驱动LCD显示中文字符“年”。-Function: FGAD drive LCD displays in Chinese characters " years."
Platform: | Size: 5120 | Author: HUANGTIANWEN | Hits:

[VHDL-FPGA-VerilogLCD1602-display-nian

Description: 这个程序可以显示汉字年 使用的液晶模块是1602 编程语言是VHDL-This program can display the Chinese characters used in LCD module is 1602 programming language is VHDL
Platform: | Size: 1024 | Author: 朱振军 | Hits:

[VHDL-FPGA-VerilogDE2_lcd_clk

Description: 用VHDL写的在DE2开发板上的LCD实现的秒表程序-DE2 development board LCD stopwatch program written in VHDL
Platform: | Size: 507904 | Author: zhaochengru | Hits:

[VHDL-FPGA-VerilogLCD

Description: 用VHDL语言在W90P710下编写LCD程序。在LCD上显示图片,汉字等内容。-VHDL language written in W90P710 LCD program. Pictures, such as Chinese characters displayed on the LCD.
Platform: | Size: 232448 | Author: dxk | Hits:

[VHDL-FPGA-Veriloglcd1602

Description: 用VHDL写的液晶显示程序,液晶模块为LCD1602,有注释-LCD program, written in VHDL LCD module LCD1602, annotated
Platform: | Size: 1746944 | Author: ksing | Hits:

[Windows DevelopDS6__LCD_VHDDe

Description: 使用vhdl语言开发的16x2液晶LCD显示出来模块的驱动drivver程序源码。在quartus中编译完成,可直接运行 可直接使用。 -16x2 LCD display out using vhdl language development the module the drive drivver program source. Compiled in quartus can be run directly can be used directly.
Platform: | Size: 437248 | Author: wangjiantin | Hits:

[Embeded-SCM Develop240128

Description: 240128液晶驱动程序,本演示程序适用于SMG240128A液晶显示模块与MCS51系列单片机采用MCS51模拟口线的 //硬件连线方式。 // 本演示程序包括T6963C兼容芯片的MCS51模拟口线方式子程序集,T6963C兼容芯片的240128 //液晶显示模块的基本子程序,以及SMG240128系列标准图形点阵型液晶显示的基本演示子程序. // 本演示的内容为,在240列X128行的点阵液晶显示屏上清屏,写数据,读写数据,全屏显示.-240,128 LCD drivers, the demo program for SMG240128A LCD and MCS51 series microcontrollers MCS51 analog port line// hardwired way.// This demo program includes T6963C MCS51 compatible chip set of analog port-line subroutine, T6963C compatible chip 240 128// LCD module of basic routines, and the point of formation SMG240128 Standard LCD Graphic basic demo subroutines.// content of this presentation, in the 240 X128 line dot matrix LCD screen supernatant and write data, read and write data, full-screen display.
Platform: | Size: 3072 | Author: jack | Hits:

[Otherlcd

Description: VHDL语言写的1602LCD驱动程序,经过实际调试之后发现,效果很好-The drive program of 1602LCD is written in VHDL language. and it s very good in using.
Platform: | Size: 3072 | Author: lh | Hits:

CodeBus www.codebus.net