Welcome![Sign In][Sign Up]
Location:
Search - lattice reference design rd1006

Search list

[VHDL-FPGA-VerilogRD1006--I2C

Description: RD1006--I2C与存储器的IP 代码及说明文档,lattice提供,I2C Controller for Serial EEPROMs 源代码可用,并且包含tb文件-RD1006-- I2C and memory IP code and documentation. Lattice offer I2C Controller for Serial EEPROMs source code available, and document contains tb-
Platform: | Size: 205824 | Author: 刘超 | Hits:

CodeBus www.codebus.net