Welcome![Sign In][Sign Up]
Location:
Search - lattice i2c

Search list

[Other resourceRD1006--I2C

Description: RD1006--I2C与存储器的IP 代码及说明文档,lattice提供,I2C Controller for Serial EEPROMs 源代码可用,并且包含tb文件-RD1006 -- I2C and memory IP code and documentation. Lattice offer I2C Controller for Serial EEPROMs source code available, and document contains tb -
Platform: | Size: 206145 | Author: 刘超 | Hits:

[VHDL-FPGA-VerilogRD1006--I2C

Description: RD1006--I2C与存储器的IP 代码及说明文档,lattice提供,I2C Controller for Serial EEPROMs 源代码可用,并且包含tb文件-RD1006-- I2C and memory IP code and documentation. Lattice offer I2C Controller for Serial EEPROMs source code available, and document contains tb-
Platform: | Size: 205824 | Author: 刘超 | Hits:

[assembly language16×16

Description: 点阵(公交车上的滚动显示) ,本压缩包里面含有原理图和远程序(Proteus),-Lattice (buses rolling display), the compressed packet inside contains schematic and far from the procedure (Proteus),
Platform: | Size: 92160 | Author: 兰斌 | Hits:

[assembly languageht46mcu

Description: 直流电机控制实验 马表 静态点阵led控制 动态点阵led控制 lcd字形显示 lcd自建字形 lcd与4*4键盘控制 lcd之dd/cg ram读取控制 lcd的4位控制模式实验 比大小游戏实验 中文显示型lcd控制 半矩阵式键盘与lcd控制 i2c传输-DC motor control experiment stopwatch control of the static lattice led dot matrix led dynamic control lcd display lcd self-built glyph shape lcd and 4* 4 keyboard lcd of dd/cg ram read control lcd 4-bit control mode experiment than the size of the game to experiment Chinese display type lcd control the half-matrix i2c transfer control of the keyboard and lcd
Platform: | Size: 24576 | Author: 杜明 | Hits:

[VHDL-FPGA-VerilogI2C_xo

Description: IIC的verilog源码,可以在Lattice的XO DEMO板上运行的IIC代码。内附说明文件-IIC' s verilog source code, you can Lattice' s XO DEMO board to run IIC code. Included documentation
Platform: | Size: 6568960 | Author: 高小高 | Hits:

[VHDL-FPGA-VerilogRD1054

Description: i2c接口的master ip 适用于lattice的器件-i2c master ip interface device for lattice
Platform: | Size: 620544 | Author: y | Hits:

[SCMElectronic-production

Description: 电子制作——杂志年刊程序汇总 基于89S52单片机的电子体温计 16×16点阵的制作 程控滤波器(D)设计报告 测试数据 AT89C51单片机双字中文点阵设计与仿真 51单片机和HD7279A的接口与编程 单片机从入门到精通系列讲座——数码管驱动方法 利用LCD1602的自定义字库显示汉字 单片机从入门到精通系列讲座——单片机简易频率计 用AT89S51单片机控制的智能电动车 P111G2系列可编程控制器应用集锦(1) 51单片机综合学习系统——无线遥控模块的应用 学习单片机的新途径——PROTEUS软件与单片机教学实验同步进行的教学新模式 以AT24C02为例介绍I2C总线与单片机的连接 C51和汇编混合编程 进一步认识单片机的输入输出口 单片机从入门到精通系列讲座——简易数字钟 手把手学习数字温度计的制作 单片机从入门到精通系列讲座——实时数字钟 有趣的电子蝙蝠 用SPCE061A单片机实现俄罗斯方块游戏 P111G2系列可编程控制器应用集锦(4) 单片机从入门到精通系列讲座——串口收发实例 一款可串行控制的LED系列显示模块 液晶点阵提取工具zimo21应用 用AVR单片机制作DDS信号源 单片机从入门到精通系列讲座——DS18B20温度模块驱动 部分是源码 部分是带有讲解的TXT文档....... 绝对超值·-89S52 microcontroller based electronic thermometer 16 × 16 dot matrix production Programmable filter (D) design report test data AT89C51 single-chip dual-word Chinese lattice design and simulation 51 microcontroller and interface with the programming HD7279A SCM Mastering Lecture Series- LED driving method LCD1602 use custom font to display Chinese characters SCM Mastering Lecture Series- SCM simple frequency meter AT89S51 MCU control with smart electric vehicles P111G2 Series Programmable Logic Controller Application Collection (1) 51 single-chip integrated learning systems- the application of the wireless remote control module MCU learning new ways- PROTEUS software teaching experiments carried out simultaneously with the microcontroller a new model of teaching An example with AT24C02 microcontroller with I2C bus connection C51 and assembly programming Further understanding of the microcontroller input and output ports SCM Mastering Lecture Series- Simpl
Platform: | Size: 1515520 | Author: zzd | Hits:

[VHDL-FPGA-Veriloglattice_i2c

Description: lattice公司的i2c核rd1006 包含testbench测试模块-lattice' s i2c core rd1006 (includes test module testbench
Platform: | Size: 205824 | Author: 李民 | Hits:

[VHDL-FPGA-VerilogI2C

Description: Lattice I2C VHDL source code
Platform: | Size: 2996224 | Author: gary | Hits:

[OtherI2C_v7_20171014_OK

Description: lattice LCMXO2 上的I2C,verilog语言实现(the I2C code on LCMXO2)
Platform: | Size: 980992 | Author: cool12321 | Hits:

[MultiLanguageRD1005 I2C Master Controller

Description: Lattice Semiconductor Corp. I2C Master Controller Reference Design RD1005 release 2013
Platform: | Size: 1595421 | Author: a131072plus | Hits:

CodeBus www.codebus.net