Welcome![Sign In][Sign Up]
Location:
Search - key_scan

Search list

[Other resourcekey_scan

Description: 程序主要是用硬件描述语言(VHDL)实现: 4*4键盘扫描,简洁明了,通俗易懂,比较适合VHDL初学者-procedure was used in hardware description language (VHDL) to achieve : 4 * 4 keyboard scan, concise, easily understood and more suitable for beginners VHDL
Platform: | Size: 308451 | Author: 刘赛 | Hits:

[Other resourceKEY_SCAN

Description: 键盘扫描程序,逐行拉低,错位相交的方法实现
Platform: | Size: 1368 | Author: cz | Hits:

[Embeded-SCM DevelopKEY_scan

Description: 基于lpc2148的按键扫描程序,在lpc2148上成功调试 并可以在lcd上显示
Platform: | Size: 160594 | Author: 王彦 | Hits:

[Other resourcekey_scan

Description: avr单片机键盘扫描程序,winavr编译
Platform: | Size: 30083 | Author: cht | Hits:

[assembly languagekey_scan.tex

Description: 键盘扫描,并用数码管显示出来。有8个按键,没按下一个键表示一种数据
Platform: | Size: 1113 | Author: 蒙林 | Hits:

[Other resourcekey_scan

Description: 经典的键盘扫描程序 摒弃了细节直接可作为函数用。
Platform: | Size: 1015 | Author: power3347 | Hits:

[Other resourcekey_scan

Description: 由于arm板的键盘扫描程序,c语言编写。
Platform: | Size: 1406 | Author: 王华 | Hits:

[OtherKEY_SCAN

Description: 4x4矩阵键盘的扫描程序 //按相应的按键,数码管显示相应的数字,键盘接口为行列式
Platform: | Size: 33832 | Author: chen | Hits:

[VHDL-FPGA-Verilogkey_scan

Description: 程序主要是用硬件描述语言(VHDL)实现: 4*4键盘扫描,简洁明了,通俗易懂,比较适合VHDL初学者-procedure was used in hardware description language (VHDL) to achieve : 4* 4 keyboard scan, concise, easily understood and more suitable for beginners VHDL
Platform: | Size: 308224 | Author: 刘赛 | Hits:

[SCMKEY_SCAN

Description: 键盘扫描程序,逐行拉低,错位相交的方法实现-Keyboard scanner, progressive degrade realize dislocation intersect method
Platform: | Size: 1024 | Author: cz | Hits:

[Embeded-SCM DevelopKEY_scan

Description: 基于lpc2148的按键扫描程序,在lpc2148上成功调试 并可以在lcd上显示-LPC2148-based keystroke scan procedures, the LPC2148 successfully debug and can be displayed in the lcd
Platform: | Size: 160768 | Author: 王彦 | Hits:

[SCMkey_scan

Description: avr单片机键盘扫描程序,winavr编译-avr Singlechip keyboard scanner, winavr compiler
Platform: | Size: 29696 | Author: cht | Hits:

[assembly languagekey_scan.tex

Description: 键盘扫描,并用数码管显示出来。有8个按键,没按下一个键表示一种数据-Keyboard scanning, and digital tube display. There are eight buttons, did not press a key that a data
Platform: | Size: 1024 | Author: 蒙林 | Hits:

[SCMkey_scan

Description: 经典的键盘扫描程序 摒弃了细节直接可作为函数用。-Classic keyboard scanning process is going to abandon its details can be used as a direct function used.
Platform: | Size: 1024 | Author: power3347 | Hits:

[SCMkey_scan

Description: 由于arm板的键盘扫描程序,c语言编写。-As the arm board keyboard scanner, c language.
Platform: | Size: 1024 | Author: 王华 | Hits:

[SCMlcd

Description: 该程序文件作为密码小键盘项目的主程序模块,用于控制整个密码键盘的状态及工作流程, 通过串口接收主机命令,进行分析,对于不同的命令进行不同情况处理。控制键盘录入密码, 调用LCD、LED显示状态,语音提示,调用3DES加密函数对密码进行加密,最后将密文送回至主机。 键盘扫描模块:unsigned char key_scan(),主机发送输入密码命令时,调用该程序,录入 密码,键盘扫描程序得到的密码以ASCLL码的形式通过返回值返回。 3DES加密模块:录入的密码进行加密,密码以全局变量的形式存放。加密后的密码放回至全局变量。 LCD液晶显示: 显示密码输入状态和用户提示-err
Platform: | Size: 7168 | Author: rewofei | Hits:

[SCMkey_scan

Description: 一种很实用的去抖动、按键扫描驱动程序,能够正确的读取到按键值-A very practical to jitter, key scan driver can correctly read the key value
Platform: | Size: 3072 | Author: 徐浩 | Hits:

[VHDL-FPGA-Verilogkey_scan

Description: FPGA键盘扫描,采用VHDL语言编写的键盘扫描代码-FPGA keyboard scanning, the use of VHDL language keyboard scan code
Platform: | Size: 1024 | Author: zyc | Hits:

[SCMKEY_SCAN.ZIP

Description: 基于MSP430单片机的键盘扫描驱动程序,P2口,已测试通过,现分享出来-key_scan base on msp430f149
Platform: | Size: 2048 | Author: anist du | Hits:

[SCMSTM8S003-Key_Scan

Description: STM8S003-Key_Scan 例程-STM8S003-Key_Scan
Platform: | Size: 217088 | Author: mozaer ruan | Hits:
« 12 3 4 »

CodeBus www.codebus.net