Welcome![Sign In][Sign Up]
Location:
Search - interface mouse verilog

Search list

[VHDL-FPGA-Verilogmouse_control

Description: 1、 用FPGA实现PS/2鼠标接口。 2、 鼠标左键按下时十字形鼠标图象的中间方块改变颜色,右按下时箭头改变颜色。 3、 Reset按键:总复位。 -one with FPGA PS/2 mouse interface. 2, the left mouse button pressed cruciform images in the middle mouse to change the color box, press the right arrow at the change in color. 3, Reset buttons : the total reduction.
Platform: | Size: 9216 | Author: lee | Hits:

[VHDL-FPGA-Verilogps2mouse_verilog

Description: 本实验利用PS/2接口实现了与鼠标通信,并将鼠标的按键信息通过D6,D7,D8,D9 来直观的放映,其中D6,D7代表鼠标右键的状态,当鼠标右键没有按下时,D6,D7两 个灯都不亮,当鼠标右键有按下时,D6,D7两个灯同时点亮。与此相同,D8,D9则代 表鼠标左键的状态。而鼠标的移动状态,我们是通过七段数码管来表示,低两位的数 码管表示X轴的移动点数,高两位的数码表示Y轴的移动点数。-the experimental use of PS/2 interface with the click of the mouse communications, and the mouse buttons and information through D6. D7, D8, D9 to visual screening, D6, D7 right-clicking on behalf of the state, with the right mouse button pressed, D6, D7 two lights are not bright, with the right mouse button is pressed, D6, D7 while two lights lit. Likewise, D8, D9, on behalf of the state of the left mouse button. And the movement of the mouse state, we agreed to in paragraph 107 of the digital control, low two digital X-axis of said mobile Points Gao said the two digital mobile Y-axis points.
Platform: | Size: 12288 | Author: dragon | Hits:

[VHDL-FPGA-VerilogSourceFile

Description: PS2鼠标实验Verilog HDL代码-PS2 mouse experiments Verilog HDL code
Platform: | Size: 4096 | Author: 张猛蛟 | Hits:

[VHDL-FPGA-Verilogps2

Description: ps2接口源程序。标准的键盘和鼠标接口,在Xilinx SpartanII XC2S200 实验板上通过验证-ps2 interface source. Standard keyboard and mouse interface, in the experiments on-board Xilinx SpartanII XC2S200 validated
Platform: | Size: 23552 | Author: Alex | Hits:

[SCMps2

Description: 基于Verilog开发的PS2的接口设计,可以模拟PS2的鼠标和键盘的接口!-Verilog-based development of the PS2 interface design, can simulate the PS2 mouse and keyboard interface!
Platform: | Size: 10240 | Author: sq | Hits:

[VHDL-FPGA-VerilogPS_2

Description: 此模块用于"PS/2接口的鼠标或键盘"与"具有外部读写的8位并口单片机"双向通信模块. Verilog HDL语言编写,在Quartus II 8.1 (32-Bit)软件中编译,并下载至EPM7128SLC84-10芯片中通过. 文件中有详细的注解. 此模块具有对于PS/2时钟和数据线的滤波功能,这样减少外部干扰,保证通信的可靠性! -This module for the "PS/2 mouse or keyboard interface" and "read and write with an external parallel port single-chip 8" two-way communication module. Verilog HDL language, in the Quartus II 8.1 (32-Bit) software compiler and downloaded to EPM7128SLC84-10 chip through. document detailed comments. This module has the PS/2 clock and data line filtering, so that to reduce the external interference, and ensure the reliability of communication!
Platform: | Size: 5120 | Author: yuantielei | Hits:

[VHDL-FPGA-Verilogps2_mouse

Description: ps2鼠标驱动,verilog 编写,代码很短,上电初始化后即可使用-ps2 mouse driver
Platform: | Size: 2048 | Author: long Xu | Hits:

[Embeded-SCM DevelopPs2-ALL

Description: PS2键盘鼠标接口控制实现电子琴功能,verilog hdl 编写-PS2 keyboard and mouse interface to control the realization of organ function, verilog hdl prepared
Platform: | Size: 1058816 | Author: rutingfeng | Hits:

[VHDL-FPGA-Verilogps2

Description: FPGA的ps2鼠标键盘接口(NiosII组件),verilog语言编写-Ps2 mouse and keyboard interface to the FPGA (NiosII components), verilog language
Platform: | Size: 2048 | Author: 陈明 | Hits:

[VHDL-FPGA-Verilogmouse_vga_2.0

Description: 基于CycloneIII FPGA开发的PS2鼠标和VGA接口逻辑,采用Verilog编写。-CycloneIII FPGA-based development of the PS2 mouse and VGA interface logic, using Verilog.
Platform: | Size: 3448832 | Author: 鲁文帅 | Hits:

[Otherusb

Description: USB slave: It is contain a USB slave design written in verilog language. It is a interface between USB host and Functions such as memory, Keyboard, mouse and so on.-SB slave: It is contain a USB slave design written in verilog language. It is a interface between USB host and Functions such as memory, Keyboard, mouse and so on.
Platform: | Size: 231424 | Author: william | Hits:

[VHDL-FPGA-VerilogPS2_SOC2

Description: 利用Verilog HDL设计了PS2鼠标。 我们在Altera公司的Cyclone开发平台上测试了这个模块。正常动作,可以直接利用。-This is a state-machine driven serial-to-parallel and parallel-to-serial interface to the ps2 style mouse.
Platform: | Size: 26624 | Author: 金铁男 | Hits:

[VHDL-FPGA-Verilogkbb

Description: It is a Verilog code for PS2 keyboard/mouse receiver interface. It is very easy to use.
Platform: | Size: 1024 | Author: eren | Hits:

CodeBus www.codebus.net