Welcome![Sign In][Sign Up]
Location:
Search - ieee pri

Search list

[Documents自动售货机VHDL程序与仿真

Description: library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买、选择、完成信号 coin0,coin1: in std_logic; --5角硬币、1元硬币 price,quantity :in std_logic_vector(3 downto 0); --价格、数量数据 item0 , act:out std_logic_vector(3 downto 0); --显示、开关信号 y0,y1 :out std_logic_vector(6 downto 0); --钱数、商品数量显示数据 act10,act5 :out std_logic); --1元硬币、5角硬币 end PL_auto1; architecture behav of PL_auto1 is type ram_type is array(3 downto 0)of std_logic_vector(7 downto 0); signal ram :ram_type; --定义RAM signal item: std_logic_vector(1 downto 0); --商品种类 signal coin: std_logic_vector(3 downto 0); --币数计数器 signal pri,qua:std_logic_vector(3 downto 0); --商品单价、数量 signal clk1: std_logic; --控制系统的时钟信号 begin .。。。。。。。。。。。。。
Platform: | Size: 204288 | Author: niuyuanlai@163.com | Hits:

[Program docprint-IEEE1284

Description: 打印机IEEE1284并行接口的设计,进行了编程思想指导。-IEEE1284 parallel port printer design, a programming guide.
Platform: | Size: 102400 | Author: df | Hits:

CodeBus www.codebus.net