Welcome![Sign In][Sign Up]
Location:
Search - ieee

Search list

[WEB CodeIEEE小波入门

Description: 从IEEE收集的小波分析入门的资料,是学习科学前沿很好的参考资料-IEEE collected from the wavelet analysis of the data entry, learn cutting-edge science is a good reference
Platform: | Size: 91276 | Author: 欧瑞清 | Hits:

[Streaming Mpeg4h.264搜索算法相关IEEE论文

Description: 介绍h.264搜索算法的IEEE论文20篇-recommend 20 books of discourse h.264 grabble arithmetic IEEE.
Platform: | Size: 5428647 | Author: 马骏 | Hits:

[Other resource1076 IEEE Standard VHDL Language Reference Manual.

Description: 1076-2002 IEEE Standard VHDL Language Reference Manual-1076-2002 IEEE Standard VHDL Language Ref validated Manual
Platform: | Size: 867086 | Author: 巫涛 | Hits:

[Other resourceIEEE std 1363-2000

Description: IEEE所制定的椭圆曲线算法标准,对椭圆曲线算法感兴趣的朋友可以看看。-developed by the IEEE elliptic curve algorithm standard algorithm for elliptic curve interested friends can see.
Platform: | Size: 1192886 | Author: 张进 | Hits:

[WEB CodeIEEE 802.3 Cyclic Redundancy Check v1.0

Description: IEEE 802.3规定的CRC算法解释说明,pdf版本-the CRC algorithm explanation, pdf version
Platform: | Size: 79676 | Author: 马歌 | Hits:

[File OperateIEEE-Std-802.11a-1999

Description: IEEE-Std-802.11a标准文档, 如你需要更多的IEEE标准请联系truewell@anhuinews.com-IEEE - Std-802.11a standard documentation, If you need more IEEE standard please contact truewell@anhuinews.com
Platform: | Size: 631898 | Author: 莫宁 | Hits:

[Other resourceDesignofVeryDeepPipelinedMultipliersforFPGAs(IEEE)

Description: 关于FPGA流水线设计的论文 This work investigates the use of very deep pipelines for implementing circuits in FPGAs, where each pipeline stage is limited to a single FPGA logic element (LE). The architecture and VHDL design of a parameterized integer array multiplier is presented and also an IEEE 754 compliant 32-bit floating-point multiplier. We show how to write VHDL cells that implement such approach, and how the array multiplier architecture was adapted. Synthesis and simulation were performed for Altera Apex20KE devices, although the VHDL code should be portable to other devices. For this family, a 16 bit integer multiplier achieves a frequency of 266MHz, while the floating point unit reaches 235MHz, performing 235 MFLOPS in an FPGA. Additional cells are inserted to synchronize data, what imposes significant area penalties. This and other considerations to apply the technique in real designs are also addressed.
Platform: | Size: 179551 | Author: 李中伟 | Hits:

[OtherIEEE.Xplore.CHINESE.Ver.1.4

Description: IEEE Xplore CHINESE Ver 1.4.doc IEEE Xplore CHINESE Ver 1.4.doc-IEEE Xplore ENGLISH Ver 1.4.doc IEEE Xplor e ENGLISH Ver 1.4.doc IEEE Xplore ENGLISH Ver 1. 4.doc
Platform: | Size: 25588 | Author: hang | Hits:

[WEB Codeieee

Description: this section is include what a ieee and the ieee is consisite-this section include what is a ieee and the i eee is consisite
Platform: | Size: 2060 | Author: dfsdfsd | Hits:

[OtherIEEE

Description: 关于联合信源信道编码的文章,来自IEEE,对于JSCC的研究很有参考价值
Platform: | Size: 7928154 | Author: Airs | Hits:

[Other含汽轮机&水轮机的IEEE 3机9节点仿真系统_PSCAD

Description: IEEE标准3机9节点模型,可用于暂态分析;包含典型汽轮机和水轮机驱动的同步机。(The 3 source and 9 nodes model of IEEE , which can be used for transient analysis; Including synchronous machine driven by typical water turbine or steam turbine.)
Platform: | Size: 6144 | Author: 满天数星斗 | Hits:

[OtherA-LaTeX-Demo-for-IEEE-master

Description: IEEE的LaTeX模板,初学即可使用。对于第一次使用LaTeX的人可以很方便的使用,内附有pdf和模板。(IEEE's LaTeX template, first learner can use)
Platform: | Size: 386048 | Author: remedyxd | Hits:

[OtherIEEE 118 Bus_modified

Description: IEEE 118 Bus System - DIgSILENT
Platform: | Size: 1185792 | Author: erikm0895 | Hits:

[Other[IEEE]SystemVerilog.std.1800-2012.pdf

Description: [IEEE]SystemVerilog.std.1800-2012
Platform: | Size: 6410240 | Author: see01995 | Hits:

[File FormatIeee 9 bus system data pdf - Google Docs

Description: How to draw IEEE 9 bus system on PSCAD
Platform: | Size: 171008 | Author: qasim787 | Hits:

[OtherIEEE 118 bus system

Description: IEEE 118 bus system designed in PSCAD
Platform: | Size: 263168 | Author: engr.abs | Hits:

[Internet-NetworkIEEE-30DC_program

Description: 直流潮流法计算IEEE-30节点的潮流数据(Calculation of Power Flow Data at IEEE-30 Nodes by DC Power Flow Method)
Platform: | Size: 2048 | Author: Jerry Chen | Hits:

[Otherieee

Description: 适用于SSO研究,搭建基于PSCAD的IEEE第一标准模型,可以在其基础上进行其他新能源机组的扩接(Suitable for SSO research)
Platform: | Size: 2380800 | Author: spring young | Hits:

[DocumentsIEEE 802.3-2015_SECTION1

Description: IEEE Standard for Ethernet 802.3 2015
Platform: | Size: 5488640 | Author: foobie | Hits:

[OtherIEEE 14 bus

Description: Powerworld IEEE 14 bus .pwb Powerworld IEEE 14 bus .pwd
Platform: | Size: 8192 | Author: frkydn09 | Hits:
« 1 2 34 5 6 7 8 9 10 ... 50 »

CodeBus www.codebus.net