Welcome![Sign In][Sign Up]
Location:
Search - i2c_controller

Search list

[Streaming Mpeg4I2C_Controller

Description: TW9910初始化程序。verilog。-TW9910 initialization procedures. Verilog.
Platform: | Size: 3216 | Author: bull | Hits:

[Other resourceI2C_controller

Description: verilog编写的一个简单的I2C控制器,言简意赅,完成对寄存器的配置.用户可自行修改配置参数.
Platform: | Size: 3049 | Author: 康丹丹 | Hits:

[Embeded-SCM DevelopI2C_Controller

Description: I2C controller verilog code for altera fpga platform.
Platform: | Size: 1749 | Author: 蔡俊仪 | Hits:

[Other resourceI2C_Controller

Description: 用VHDL来实现I2C的功能(实验过),很好用啊
Platform: | Size: 1424 | Author: 唐小勇 | Hits:

[Other resourceI2C_Controller

Description: I2C控制器的源代码,Verilog HDL语言编写,可以直接调用
Platform: | Size: 2174 | Author: zw | Hits:

[Streaming Mpeg4I2C_Controller

Description: TW9910初始化程序。verilog。-TW9910 initialization procedures. Verilog.
Platform: | Size: 3072 | Author: bull | Hits:

[Other Embeded programI2C_controller

Description: verilog编写的一个简单的I2C控制器,言简意赅,完成对寄存器的配置.用户可自行修改配置参数.
Platform: | Size: 3072 | Author: 康丹丹 | Hits:

[Embeded-SCM DevelopI2C_Controller

Description: I2C controller verilog code for altera fpga platform.
Platform: | Size: 1024 | Author: 蔡俊仪 | Hits:

[VHDL-FPGA-VerilogI2C_Controller

Description: 用VHDL来实现I2C的功能(实验过),很好用啊-Use VHDL to achieve the functions of I2C (experimental too), good use ah
Platform: | Size: 1024 | Author: 唐小勇 | Hits:

[VHDL-FPGA-VerilogI2C

Description: I2C总线的逻辑代码.Verilog编写!很好用.调试成功.-I2C bus logic code. Verilog prepared! Good use. Debugging success.
Platform: | Size: 313344 | Author: ZZ | Hits:

[VHDL-FPGA-VerilogDE2_USB_API

Description: Altera de2开发板提供的配套软件程序,用PC机上的应用软件来控制开发板外围器件,功能较全面-Altera de2 development board to provide the matching software program, used PC, application software to control the development board peripheral device, function more comprehensive
Platform: | Size: 9212928 | Author: 陈建 | Hits:

[VHDL-FPGA-VerilogI2C_Controller

Description: I2C控制器的源代码,Verilog HDL语言编写,可以直接调用-I2C controller source code, Verilog HDL language, you can directly call
Platform: | Size: 2048 | Author: zw | Hits:

[VHDL-FPGA-VerilogI2C_Controller

Description: 对视频编解码芯片ADV7181进行合理的配置,使其输出符合ITUR656标准的视频流-Of the ADV7181 video decoder chip for a reasonable configuration, so that the output in line with the standard video streaming ITUR656
Platform: | Size: 1024 | Author: 黄涛 | Hits:

[VHDL-FPGA-VerilogI2C_Controller

Description: I2C Controller VHDL used to communicate two devices. In general this communication is used to configure some device.
Platform: | Size: 1918976 | Author: Arley | Hits:

[Embeded-SCM DevelopI2C_Controller

Description: Verilog 硬件描述语言的 I2C收发控制器程序-Verilog hardware description language, I2C transceiver controller program
Platform: | Size: 1024 | Author: | Hits:

[VHDL-FPGA-VerilogI2C_CONTROLLER

Description: this is VHDL model of I2C_controller
Platform: | Size: 908288 | Author: Harshit B J | Hits:

[VHDL-FPGA-VerilogI2C_Controller

Description: 音频编解码器WM8731的Verilog使用程序,里面包含DAC控制单元、I2C总线配置等的哥哥模块-This is it.
Platform: | Size: 2048 | Author: 闫柏宇 | Hits:

[VHDL-FPGA-Verilogi2c_controller

Description: 采用Verilog语言实现I2C master controller的示例,有测试程序-The Verilog language implementation the I2C master controller example, testing program
Platform: | Size: 1980416 | Author: wu | Hits:

[VHDL-FPGA-VerilogOV7670_Verilog

Description: 硬件方式初始化OV7670代码,使用Verilog,I2C_Controller.v为底层SCCB 驱动文件; I2C_CCD_Config.v为初始化参数在此文件中配置;cmos_top.v为硬件读取OV7670输出时序; 另外需要给OV7670 输入XCLK时钟, 可以是 50MHZ-Hardware initialized OV7670 code using Verilog I2C_Controller.v the underlying the SCCB driver file I2C_CCD_Config.v initialization parameter configuration file cmos_top.v hardware read OV7670 output timing addition to the OV7670 input XCLK clock is 50MHZ
Platform: | Size: 5120 | Author: 多乐 | Hits:

[MPII2C_Controller

Description: 标准i2c时序,能配置各种I2C控制的芯片- standard I2C timing
Platform: | Size: 1024 | Author: qiuzhenshen | Hits:
« 12 »

CodeBus www.codebus.net