Welcome![Sign In][Sign Up]
Location:
Search - ds18b20 vh

Search list

[VHDL-FPGA-VerilogDS18B20+VHDL

Description: 用VHDL语言实现的控制DS18B20构成测温仪表的程序,包含了全部代码,可显示最高精度-with VHDL control DS18B20 constitute Thermometer procedures, contains all the code will show that the most high-precision
Platform: | Size: 818176 | Author: 刘西圣 | Hits:

[Otherds18b20

Description: 基于VHDL写的DS18B20的驱动,简单版本-based on VHDL write DS18B20 driven, simple version
Platform: | Size: 299008 | Author: zhaoyang | Hits:

[VHDL-FPGA-VerilogDS18B20_test_3_vhdl

Description: ds18b20温度传感器,使用vhdl实现-ds18b20 temperature sensor, achieved using vhdl
Platform: | Size: 1361920 | Author: qifo | Hits:

[VHDL-FPGA-VerilogVHDL-cewen

Description: 基于FPGA的DS18B20温度测量逻辑模块设计-FPGA-based logic module design DS18B20 temperature measurement
Platform: | Size: 737280 | Author: 肖启剑 | Hits:

CodeBus www.codebus.net