Welcome![Sign In][Sign Up]
Location:
Search - decoder

Search list

[VHDL-FPGA-Verilogdecoder

Description: 三八译码器,可以通过三位输入实现八位的输出,可连接FPGA下板。-Thirty-eight decoder output can be achieved through three eight inputs can be connected to the lower plate FPGA.
Platform: | Size: 1024 | Author: ellen | Hits:

[Otherdecoder-and-multiplexer

Description: code vhdl decoder and multiplexer
Platform: | Size: 3072 | Author: hassan_shaaban | Hits:

[mpeg mp3mp3-decoder-source-code

Description: mp3 decoder source code
Platform: | Size: 594902 | Author: yong.jiang@cn.bosch.com | Hits:

[Software EngineeringFAST-ML-DECODER-FOR-GOLDEN-CODE

Description: Fast ML sphere decoder
Platform: | Size: 753664 | Author: snehakm | Hits:

[VHDL-FPGA-Verilogdecoder

Description: This decoder by VHDL.-This is decoder by VHDL.
Platform: | Size: 151552 | Author: behnam | Hits:

[Otherdecoder-2-to-4

Description: decoder 2 to 4 using vhdl
Platform: | Size: 100352 | Author: minhthien | Hits:

[Otherdecoder-3-to-8

Description: decoder 3 to 8 using vhdl
Platform: | Size: 105472 | Author: minhthien | Hits:

[VHDL-FPGA-Verilogencoder-and-decoder

Description: 编码器和译码器,包含一个8线-3线优先编码器和一个3线-8线译码器。-encoder and decoder
Platform: | Size: 1024 | Author: 谢谢 | Hits:

[OtherJPEG-Decoder-v1.1

Description: jpeg decoder ss1928
Platform: | Size: 106496 | Author: amir | Hits:

[Software EngineeringViterbi-decoder

Description: Viterbi decoder for arbitrary convolutional code
Platform: | Size: 2048 | Author: hayder | Hits:

[SCMLED-display-decoder-output-values

Description: 单片机开发环境下,利用C51驱动LED显示译码器输出值-In MCU development environment, using C51 drive LED to display decoder output values
Platform: | Size: 15360 | Author: 何乔 | Hits:

[LabViewsimulation-138-decoder

Description: labview 是一款模拟仪器模拟软件 本源代码旨在设计一个模拟138译码器-Labview is a instrument simulation software. This source code is to design a simulation 138 decoder
Platform: | Size: 7168 | Author: 杨珖 | Hits:

[Otherdecoder

Description: This file is vhdl and describe decoder method.
Platform: | Size: 10240 | Author: najme.yousefi | Hits:

[Crack HackPHPion-Decoder-(20099

Description: PHPion Decoder windows utility
Platform: | Size: 1967104 | Author: enotanet | Hits:

[Crack HackByterun-Decoder-(2009)

Description: Byterun php Decoder windows utility
Platform: | Size: 1966080 | Author: enotanet | Hits:

[Graph programQRCode-Decoder

Description: QRCode decoder SDK是一个强大,高效率和快速搜索, 检测, 定位QRCode 条码的图像扫描开发工具包。QRCode条形码可以在一个小图像上储存大量的数据,最大可达到7089位数字,4296个字母,2953二进制数据,1817日文字符。而一维条码通常用于存储一个记录或帐号,然后必须从数据库中检索,以便获得额外的信息。 QRCode条码通常可以存储所有信息或一个特定的记录。利用QRCode条形码,开发者可以不必进行数据库信息检索就可以直接从识别得到的数据中得到所有详细资料。 使用此开发库,开发者可以阅读倾斜或翻转的条码。识别库的输入可以是各种图片格式,如JPG,PNG,BMP,GIF等, 也可以是从照相机或摄像机中得到的图像帧 -Decoder SDK QRCode is a powerful, efficient and fast search, detection, and positioning QRCode barcode image scan development kit. QRCode bar code can be stored in a small image of a large number of data, the maximum can reach 7089 digits, 4296 letters, 2953 binary data, 1817 Japanese characters. And one dimensional bar code is usually used to store a record or account, and then must be retrieved the in order to obtain additional information. QRCode bar code can usually store all the information or a specific record. Using QRCode bar code, the developer can not have to carry out the information retri can directly the identification of the data obtained in all the details. Using this development library, developers can read the tilt or flip of the bar code. The input can be a variety of image formats, such as JPG, PNG, BMP, GIF, etc., can also be obtained the camera or the camera image frame
Platform: | Size: 1769472 | Author: jinlong | Hits:

[mpeg mp3MP3-Decoder-Playback-Source

Description: MP3 Decoder/Playback Source
Platform: | Size: 236544 | Author: Andrew | Hits:

[SCMRaw-IR-decoder-for-Arduino-master

Description: 原始 IR 遥控解码,基于arduino平台-Raw IR decoder for Arduino
Platform: | Size: 5120 | Author: 小晴 | Hits:

[SCMRaw-IR-decoder-for-Arduino-master

Description: 原始 IR 遥控器 按键解码,基于arduino平台的。-Raw IR decoder for Arduino master
Platform: | Size: 5120 | Author: 小晴 | Hits:

[VHDL-FPGA-Verilogdecoder

Description: 用verilog语言实现译码器,包含实验报告和数据流文件-Achieve decoder with verilog language, including reports and experimental data stream file
Platform: | Size: 1613824 | Author: | Hits:
« 1 2 ... 40 41 42 43 44 4546 47 48 49 50 »

CodeBus www.codebus.net