Welcome![Sign In][Sign Up]
Location:
Search - ddr2 controller

Search list

[Otheru26a_spice

Description: ddr2控制器一些源码,控制时序及怎样通过嵌入式cpu来进行控制的实例-ddr2 Controller some source code, and how to control the timing of embedded cpu passed to the control of the examples
Platform: | Size: 297984 | Author: | Hits:

[VHDL-FPGA-Verilogxapp935

Description: ddr2 controller, verilog source code from xilinx
Platform: | Size: 347136 | Author: Hubert | Hits:

[Compress-Decompress algrithmsDDR2_sdram

Description: DDR2 的控制器,它是由LATTICE的编译器生成。-DDR2 controller, it is by the compiler-generated LATTICE.
Platform: | Size: 966656 | Author: 李国 | Hits:

[VHDL-FPGA-Verilogzbt_rd_vhdl_str_v1.0.0

Description: ddr2 controller功能控制,里面有四个模块-ddr2 controller functions to control, which has four modules
Platform: | Size: 1688576 | Author: li ji wei | Hits:

[VHDL-FPGA-VerilogDDR2Controller

Description: DDR2 Controller DDR2 Controller
Platform: | Size: 312320 | Author: tg | Hits:

[Embeded-SCM Developddr_ddr2_sdram

Description: 基于NIOS II的ddr2控制器,配有详细的文档,经验证后可使用.-NIOS II based on the DDR2 controller, equipped with detailed documentation, the experience can be used after certification.
Platform: | Size: 3486720 | Author: Jackie | Hits:

[VHDL-FPGA-Verilog1189152740

Description: DDR2 SDRAM 控制器的FPGA实现-DDR2 SDRAM controller FPGA to achieve
Platform: | Size: 84992 | Author: 白皓 | Hits:

[Other Embeded programIntel-IOP341-DDR2-memory-controller-initializtion.

Description: 可以基于本流程了解IOP Raid处理器在启动时对DDR2内存控制器的初始化。也可以以此了解其他片上系统的DDR2控制器的启动方法。-Understanding of this process can be based on IOP Raid processor at boot time on the DDR2 memory controller initialization. Can also be used to understand the other system-on-chip DDR2 controller start-up method.
Platform: | Size: 77824 | Author: youxiaoguang | Hits:

[VHDL-FPGA-Verilogddr2

Description: 基于Xilinx fpga的ddr2 控制器设计方法-Xilinx fpga-based controller design method of ddr2
Platform: | Size: 2793472 | Author: Zhao Bill | Hits:

[VHDL-FPGA-Verilogc_xapp260

Description: xilinx应用指南xapp260的中文翻译版本。利用 Xilinx FPGA 和存储器接口生成器简化存储器接口。本白皮书讨论各种存储器接口控制器设计所面临的挑战和 Xilinx 的解决方案,同时也说明如何使用 Xilinx软件工具和经过硬件验证的参考设计来为您自己的应用(从低成本的 DDR SDRAM 应用到像 667 Mb/sDDR2 SDRAM 这样的更高性能接口)设计完整的存储器接口解决方案。-The use of Xilinx FPGA and Memory Interface Generator to simplify memory interface. This white paper discusses the various memory interface controller design challenges facing Warfare and Xilinx solutions, but also explains how to use Xilinx Software tools and hardware-proven reference designs to be for your own With (from low-cost DDR SDRAM applications to such as 667 Mb/s This higher performance DDR2 SDRAM interface) design a complete deposit Storage device interface solution.
Platform: | Size: 1123328 | Author: 陈阳 | Hits:

[VHDL-FPGA-Verilogddr2_controller

Description: DDR2控制器设计原码,可以在FPGA上测试通过,并对外部的ddr memory进行读写访问.-DDR2 controller design of the original code, can be tested through the FPGA, and external ddr memory read and write access.
Platform: | Size: 52224 | Author: yanxp | Hits:

[VHDL-FPGA-VerilogAMBA

Description: 基于AMBA总线的DDR2 SDRAM控制器研究与实现-AMBA bus-based Research and Implementation of DDR2 SDRAM Controller
Platform: | Size: 209920 | Author: guoxiaojin | Hits:

[VHDL-FPGA-VerilogXil3SD1800A_MIG

Description: 基于xc3sd1800afg676的开发板的DDR2的控制器的IPCORE,提供完整的代码和UCF。系统时钟频率为125Mhz。-The development board based on xc3sd1800afg676 DDR2 controller of IPCORE, provide a complete code and UCF. System clock frequency of 125Mhz.
Platform: | Size: 1213440 | Author: sonicecho | Hits:

[VHDL-FPGA-VerilogDDR2_controller

Description: DDR2控制器IP的设计与FPGA实现,使用verilog语言-DDR2 Controller IP Design and FPGA implementation, use the verilog language
Platform: | Size: 1818624 | Author: alins | Hits:

[VHDL-FPGA-Verilogddr2_test

Description: 一个用Verilog写的DDR2的控制器(我们项目是在Altera的FPGA)成功仿真,并且使用到了项目中控制DDR2-A written using Verilog DDR2 controller (our project in Altera' s FPGA) successful simulation, and used to control the DDR2 in project
Platform: | Size: 10875904 | Author: 左洪成 | Hits:

[VHDL-FPGA-VerilogThe-Speedy-DDR2-Controller-

Description: The Speedy DDR2 Controller For FPGAs ERSA 2009 Final
Platform: | Size: 168960 | Author: 郭振宇 | Hits:

[VHDL-FPGA-VerilogDDR2_Memory_Test

Description: DDR2 controller which contains verilog files,pdf and so on
Platform: | Size: 234496 | Author: zhang | Hits:

[Software EngineeringTMS320DM646x--DDR2-Controller-

Description: TMS320DM646x DDR2 Memory DDR2控制器指导说明-TMS320DM646x DMSoC DDR2 Memory Controller User s Guide (Rev. C)(sprueq4c).rar
Platform: | Size: 337920 | Author: 王乐 | Hits:

[VHDL-FPGA-Verilogddr2

Description: leon3系统中ddr2控制器的相关代码(还包包括存储器的仿真模型),该控制器可以与amba2.0的ahb总线相连,机构比较复杂,代码量很大-ddr2 controller code (package includes the memory of the simulation model) leon3 system, the controller can with amba2.0 the ahb bus connected to more complex institutions, the amount of code
Platform: | Size: 219136 | Author: 张鹏 | Hits:

[VHDL-FPGA-Verilogddr2

Description: 基于xilinx spartan -3A DSP的ddr2控制器-Based on the Xilinx Spartan-3A DSP DDR2 controller
Platform: | Size: 12134400 | Author: 朱义 | Hits:
« 12 3 4 »

CodeBus www.codebus.net