Welcome![Sign In][Sign Up]
Location:
Search - dc motor verilog

Search list

[VHDL-FPGA-VerilogVerilogHDL_DC_Motor_control

Description: 采用Verilog HDL语言编写的直流电动机控制系统,主要完成直流电动机的速度控制,典型的三闭环(位置、转速和电流反馈)直流电机控制系统,对控制类相关的学习者价值很高-Using Verilog HDL language of the DC motor control system, mainly the completion of DC motor speed control, a typical three-loop (position, speed and current feedback) DC motor control system for control-type high-value related to the learner
Platform: | Size: 1405952 | Author: 廖耿耿 | Hits:

[VHDL-FPGA-Verilogcpld-pwm

Description: 基于cpld的pwm控制设计 采用vhdl.verilog语言设计 对大家比较有用-CPLD-based control design uses the pwm design vhdl.verilog language more useful for everyone
Platform: | Size: 79872 | Author: emily | Hits:

[VHDL-FPGA-VerilogCPLD_Design_50

Description: CPLD实用设计50例,非常经典的CPLD设计,包含50个实际的典型应用,涉及直流电机PWM驱动,编码等内容,有了这50例,举一反三,就会了很多应用-50 cases of practical CPLD design, very classic CPLD design, including 50 typical practical applications, involving PWM DC motor driver, coding, etc., with these 50 cases, giving top priority will be a lot of applications
Platform: | Size: 7625728 | Author: 刘工 | Hits:

[VHDL-FPGA-VerilogVDHL

Description: Verilog的135个经典设计实例,直流电机控制,游戏机,三态总线,加法器,锁存器等-Verilog s 135 classic design example, DC motor control, video game consoles, three-state bus, adder, latches, etc.
Platform: | Size: 113664 | Author: 何柳 | Hits:

[Embeded-SCM DevelopPICRoboconCode

Description: C source code for PIC microcontroller to controll input/output of PIC Robocon board. Read IR Sensor LCD control Button DC motor
Platform: | Size: 23552 | Author: Nguyen Chi Linh | Hits:

[VHDL-FPGA-Verilogmotor_PWM_Verilog

Description: 直流电机的verilog hdl 代码,适合初学者参考-DC motor verilog hdl code, suitable for beginners reference
Platform: | Size: 5030912 | Author: 黄涛 | Hits:

[assembly languageservoDCmotorSpeedControl

Description: atmega16 DC servo motor Speed Control
Platform: | Size: 46080 | Author: irfan | Hits:

[VHDL-FPGA-VerilogPWM_moto_ctrl

Description: verilog 代码实现 直流电机PWM控制 内有整个完整工程 和modelsim仿真文件-verilog code for PWM DC motor control to achieve within the whole integrity of engineering and modelsim simulation files
Platform: | Size: 949248 | Author: 文一左 | Hits:

[VHDL-FPGA-Verilogeda

Description: 利用FPGA可编程芯片及Verilog HDL语言实现了对直流电机PwM控制器的设计,对直流电机速度进行控制。介绍了用Verilog HDL语言编程实现直流电机PwM控制器的PwM产生模块、串口通信模块、转向调节模块等功能,该系统无须外接D/A转换器及模拟比较器,结构简单,控制精度高,有广泛的应用前景。同时,控制系统中引入上位机控制功能,可方便对电机进行远程控制。-Using FPGA programmable chip and Verilog HDL language for the design of DC motor PwM controller, DC motor speed control. Introduced with the Verilog HDL language programming controller PwM DC PwM generated module, serial communication module, steering adjustment module and other functions, the system is an external D/A converters and analog comparators, simple structure, high control precision, there a wide range of applications. Meanwhile, the introduction of PC control system control functions can be easily remote control the motor.
Platform: | Size: 4268032 | Author: 杨汉轩 | Hits:

[VHDL-FPGA-Verilogmotor_PWM

Description: 刚写的verilog 程序,控制直流电机正反转,具有严格的按键消抖函数,采用脉冲边沿检测法,防止误触发!-Just write verilog program to control the DC motor reversing, with strict key debounce function, pulse edge detection method, to prevent false triggering! ! ! Beginner EDA, if insufficient, please correct me! ! !
Platform: | Size: 303104 | Author: 谷向前 | Hits:

[VHDL-FPGA-Verilog(www.entrance-exam.net)-GEN.-APP

Description: verilog hdl code for speed control of dc motor
Platform: | Size: 406528 | Author: syed rafeh hussaini | Hits:

[Othermotor_basic

Description: 基于FPGA的直流电机闭环控制,Verilog HDL编写的源代码-DC motor closed-loop control based on FPGA, Verilog source code written in HDL DC motor closed-loop control based on FPGA, the Verilog HDL source code
Platform: | Size: 315392 | Author: sjq | Hits:

[VHDL-FPGA-Verilogmotor

Description: 课程设计 直流电机 pwm verilog -Curriculum design DC motor pwm verilog
Platform: | Size: 18432 | Author: peter | Hits:

[Otheryaokong

Description: 直流电机CPLD 控制程序,VERILOG 写的。 程序分两部分,一部分是遥控按键板的程序,一部分是接收端控制L298的程序,全部采用EPM1270编写,程序都经过实际测试。大家在使用L298的时候特别注意,L298容易烧坏掉,主要原因是过流,所以请选择电机的时候要测量下电机的内阻或者是清楚电机的功率,还有L298 如果让电机停止的时候,不要给PWM波,给PWM波又让停转的话,L298发热也厉害。-CPLD DC motor control procedures, VERILOG written. Program in two parts, one part is the remote control button board procedures, part of the L298 receiver control procedures, all using EPM1270 written procedures after the actual test. When we use special attention L298, L298 easy to burn out, mainly due to over-current, so please select the motor when the motor to measure the internal resistance or electrical power are clear, there L298 if the motor is stopped when the Do not give PWM wave, the PWM wave stopped letting the words, L298 fever is also powerful.
Platform: | Size: 657408 | Author: huanghui | Hits:

[Otherfuyaokongban

Description: 直流电机CPLD 控制程序,VERILOG 写的。 程序分两部分,一部分是遥控按键板的程序,一部分是接收端控制L298的程序,全部采用EPM1270编写,程序都经过实际测试。大家在使用L298的时候特别注意,L298容易烧坏掉,主要原因是过流,所以请选择电机的时候要测量下电机的内阻或者是清楚电机的功率,还有L298 如果让电机停止的时候,不要给PWM波,给PWM波又让停转的话,L298发热也厉害。 几个程序分开上次了,大家自己找我的上次文件-CPLD DC motor control procedures, VERILOG written. Program in two parts, one part is the remote control button board procedures, part of the L298 receiver control procedures, all using EPM1270 written procedures after the actual test. When we use special attention L298, L298 easy to burn out, mainly due to over-current, so please select the motor when the motor to measure the internal resistance or electrical power are clear, there L298 if the motor is stopped when the Do not give PWM wave, the PWM wave stopped letting the words, L298 fever is also powerful. Separate the last several programs, and we find my own last file
Platform: | Size: 694272 | Author: huanghui | Hits:

[OtherCHETIKONGZHI

Description: 直流电机CPLD 控制程序,VERILOG 写的。 程序分两部分,一部分是遥控按键板的程序,一部分是接收端控制L298的程序,全部采用EPM1270编写,程序都经过实际测试。大家在使用L298的时候特别注意,L298容易烧坏掉,主要原因是过流,所以请选择电机的时候要测量下电机的内阻或者是清楚电机的功率,还有L298 如果让电机停止的时候,不要给PWM波,给PWM波又让停转的话,L298发热也厉害。 几个程序分开上次了,大家自己找我的上次文件-CPLD DC motor control procedures, VERILOG written. Program in two parts, one part is the remote control button board procedures, part of the L298 receiver control procedures, all using EPM1270 written procedures after the actual test. When we use special attention L298, L298 easy to burn out, mainly due to over-current, so please select the motor when the motor to measure the internal resistance or electrical power are clear, there L298 if the motor is stopped when the Do not give PWM wave, the PWM wave stopped letting the words, L298 fever is also powerful. Separate the last several programs, and we find my own last file
Platform: | Size: 1965056 | Author: huanghui | Hits:

[VHDL-FPGA-VerilogDC_motor

Description: 为一个直流电机驱动控制程序,包括两个子模块和一个顶层模块,均为verilog源码。-A dc motor drive control code, including two modules and a top-level module, they are all the verilog code.
Platform: | Size: 2048 | Author: zhaolin | Hits:

CodeBus www.codebus.net