Welcome![Sign In][Sign Up]
Location:
Search - cordic algorithm

Search list

[VHDL-FPGA-Verilog基于CORDIC算法的FFT

Description: 采用按时间抽选的基4原位算法和坐标旋转数字式计算机(CORDIC)算法实现了一个FFT实时谱分析系统。-time selected by using the four-situ algorithm and coordinate rotation digital computer (CORDIC) algorithm is one is a real-time FFT spectrum analysis system.
Platform: | Size: 2048 | Author: 张志华 | Hits:

[AlgorithmCORDIC_FLOAT

Description: 这是一个数值计算算法在FPGA中实现的东东。包括CORDIC算法的详细资料还有float型数的详细论述,可供参考。-This is a numerical algorithms in FPGA achieve saucepan. CORDIC algorithm include detailed information is the number of float-type discussed in detail for reference.
Platform: | Size: 2979840 | Author: 克林顿 | Hits:

[OtherCORDIC-algorithms

Description: cordic算法的详细介绍,方便大家使用和研究-cordic detailed description of the algorithm, to facilitate the use and research
Platform: | Size: 122880 | Author: mh | Hits:

[VHDL-FPGA-VerilogCordicverilgHDL

Description: 实现cordic算法,输入数据为16位,为提高精度,输出为20位。-achieve cordic algorithm, the input data for the 16, to increase accuracy and output 20.
Platform: | Size: 6144 | Author: 叶艳 | Hits:

[VHDL-FPGA-Verilogmagnitude

Description: Verilog HDL: Magnitude For a vector (a,b), the magnitude representation is the following: A common approach to implementing these arithmetic functions is to use the Coordinate Rotation Digital Computer (CORDIC) algorithm. The CORDIC algorithm calculates the trigonometric functions of sine, cosine, magnitude, and phase using an iterative process. It is made up of a series of micro-rotations of the vector by a set of predetermined constants, which are powers of two. Using binary arithmetic, this algorithm essentially replaces multipliers with shift and add operations. In a Stratix™ device, it is possible to calculate some of these arithmetic functions directly, without having to implement the CORDIC algorithm. -Verilog HDL : For a vector magnitude (a, b), the magnitude representation is the following : A common approach to implementing thes e arithmetic functions is to use the Coordinate Rotation Digital Computer (CORDIC) algorithm. The CORDIC algorithm calculates the trigonome tric functions of sine, cosine, magn itude, and phase using an iterative process. It i 's made up of a series of micro-rotations of the v ector by a set of predetermined cons tants, which are powers of two. Using binary ar praxiology metic, this algorithm essentially replaces m ultipliers with shift and add operations. In a Stratix
Platform: | Size: 12288 | Author: 郝晋 | Hits:

[VHDL-FPGA-Verilogcordic

Description: cordic算法的vhdl实现,内附有文档说明-cordic algorithm vhdl realized, a document containing a note
Platform: | Size: 210944 | Author: wutailiang | Hits:

[Compress-Decompress algrithmscordic

Description: CORDIC算法的VHDL实现 对于采用VLSI实现图象处理技术的算法中有很大的帮助-CORDIC algorithm using VHDL realize VLSI realize image processing algorithm technology has a great help
Platform: | Size: 93184 | Author: liujl | Hits:

[matlabcordic

Description: 算超越函数的cordic算法的matlab程序,仿真通过!-Count beyond the function of the CORDIC algorithm matlab procedures, simulation through!
Platform: | Size: 1024 | Author: 戈立军 | Hits:

[OtherCORDIC_VHDL

Description: 用cordic算法来实现求解正弦,余弦及反正切的FPGA实现原代码-CORDIC algorithm used to achieve the solution of sine, cosine and tangent of the FPGA to achieve the original code
Platform: | Size: 427008 | Author: 汤文森 | Hits:

[Mathimatics-Numerical algorithmscordic

Description: 这是实现cordic算法的一些源程序,各文件的说明可以参见文件内部注释。-This is the CORDIC algorithm realize some source code, the documentation can be found in the internal document notes.
Platform: | Size: 2048 | Author: asdtgg | Hits:

[VHDL-FPGA-Verilogcordic

Description: cordic算法的Verilog HDL具体实现-CORDIC algorithm specific realize Verilog HDL
Platform: | Size: 7168 | Author: 王伟 | Hits:

[VHDL-FPGA-Verilogsinfunction

Description: 用cordic算法实现超越函数,sin,cos用此方法也可以实现其他的sinhx,coshx,ex.代码用verilog编写-CORDIC algorithm with transcendental function, sin, cos by this method can also realize other sinhx, coshx, ex. Verilog code used to prepare
Platform: | Size: 236544 | Author: yu_leo | Hits:

[VHDL-FPGA-Verilogcordic

Description: cordic verilog 程序及仿真结果 8级流水线-cordic verilog simulation results procedures and eight lines
Platform: | Size: 1024 | Author: elisen | Hits:

[AlgorithmCORDIC

Description: cordic算法,包含所有的CORDIC的算法,与发表过的论文,与实现方案-CORDIC algorithm, contains all of the CORDIC algorithm, and published papers, and implementation of programs
Platform: | Size: 8102912 | Author: elisen | Hits:

[VHDL-FPGA-VerilogCORDIC_ATAN

Description: 使用verilog语言完成了基于cordic算法求反正切的计算,精度为8次迭代-Verilog language used to complete based on CORDIC algorithm for arctangent calculation, an accuracy of 8 iterations
Platform: | Size: 1024 | Author: 小米 | Hits:

[VHDL-FPGA-Verilogcordic

Description: 使用CORDIC算法求算反正切值,非常实用,大家可以下来-The use of CORDIC algorithm calculating arctangent value, very useful, we can down
Platform: | Size: 1024 | Author: 江山 | Hits:

[DSP programcordic

Description: 数字信号处理的fpga实现,用VHDL语言编程实现cordic算法-Digital signal processing to achieve the FPGA, using VHDL language programming to achieve CORDIC Algorithm
Platform: | Size: 1024 | Author: songjunmin | Hits:

[Program docCORDIC

Description: 介绍CORDIC算法的三种模式,英文文献,供大家参考学习。-CORDIC algorithm, introduced three models, English literature, for your information to learn.
Platform: | Size: 117760 | Author: aiquan | Hits:

[matlabcordic

Description: Cordic算法的Matlab实现,里面有一些数据文件是程序产生的。这个是Cordic算法未改进版本。-It s an implementation of conventional CORDIC algorithm。
Platform: | Size: 62464 | Author: 罗正平 | Hits:

[VHDL-FPGA-VerilogCordicNCO

Description: 基于CORDIC算法的,数字控制振荡器的设计。带测试程序,输入一个振荡频率,输出SIN和COS的波形!-Based on the CORDIC algorithm, the digital controlled oscillator design. With test procedures, enter a oscillation frequency, the output waveform SIN and COS!
Platform: | Size: 4096 | Author: 咚咚 | Hits:
« 12 3 4 5 6 7 8 9 10 ... 31 »

CodeBus www.codebus.net