Welcome![Sign In][Sign Up]
Location:
Search - bcd verilog

Search list

[Embeded-SCM DevelopBIN2BCD

Description: 10进制to BCD转换算法-Decimal to BCD conversion algorithm
Platform: | Size: 1024 | Author: | Hits:

[SCMbcd_conv

Description: convert.asm: 1.From ASCII resp. BCD to binary 2.From binary to ASCII resp. BCD 3.From binary to Hex-ASCII Bin_Bcd.c: uchar BcdToBin(uchar val) uchar BinToBcd(uchar val) -convert.asm : 1.From ASCII resp. BCD 2.From binary to binary t o ASCII resp. BCD 3.From binary to Hex-ASCII Bin _Bcd.c : uchar BcdToBin (uchar val) uchar BinToBcd (uch ar val)
Platform: | Size: 5120 | Author: jack | Hits:

[OtherBCD-int

Description: BCD码和16/32位有符号以及无符号整型数之间的转换-BCD and 16/32 bits signed and unsigned integer conversion between the
Platform: | Size: 1024 | Author: huhc | Hits:

[Documentsbcd2bin

Description: BCD码和二进制码转换的硬件实现.pdf-BCD code and binary code conversion hardware. Pdf
Platform: | Size: 140288 | Author: 张三 | Hits:

[AlgorithmBCD2BIN8

Description: BCD转BIN算法,BCD码转二进制数据。-BCD to BIN algorithm, BCD code to binary data.
Platform: | Size: 1024 | Author: zjh | Hits:

[SCMbinarytobcd_arithmetic

Description: Binary to BCD arithmetic. 这东西真不错-Binary to BCD arithmetic. This is something really good
Platform: | Size: 3072 | Author: Reguse | Hits:

[VHDL-FPGA-VerilogBin16_BCD5

Description: it is a binary16 to BCD converter .it will work on spartan 3 xilini devices.
Platform: | Size: 1024 | Author: ali | Hits:

[VHDL-FPGA-Verilogbin2bcd

Description: Binary to BCD converter
Platform: | Size: 1024 | Author: Natacho | Hits:

[Windows Developbcd

Description: vhdl编写的将二进制转BCD码的程序.直接源代码,适合新手编程,语法学习-BCD
Platform: | Size: 202752 | Author: yjh | Hits:

[VHDL-FPGA-VerilogBinary_to_BCD_Converter

Description: General Binary-to-BCD Converter The linked code is a general binary-to-BCD Verilog module, and I have personally tested the code.
Platform: | Size: 25600 | Author: volkan | Hits:

[VHDL-FPGA-Verilogsn7448

Description: verilog实现的“BCD/七段译码器”。-verilog implementation " BCD/Seven-Segment Decoder."
Platform: | Size: 1024 | Author: 王先生 | Hits:

[VHDL-FPGA-Verilogbcd_adder

Description: verilog code for bcd adder
Platform: | Size: 10240 | Author: sandeep | Hits:

[VHDL-FPGA-Verilogbcd

Description: 4位bcd码加法器的verilog代码 -4 bit bcdadder verilog4 bit bcdadder verilog
Platform: | Size: 3072 | Author: 严迪超 | Hits:

[VHDL-FPGA-Verilogverilog_program

Description: 各种初学Verilog者需要练习的实例代码集锦,包含加法器,BCD计数器,2分频,交通灯等等!-Beginners need to practice a variety of examples of Verilog code highlights, including the adder, BCD counters, 2 frequency, traffic lights and more!
Platform: | Size: 32768 | Author: lyh | Hits:

[VHDL-FPGA-VerilogBCD

Description: BCD码减法实现程序,非常完整,采用Verilog HDL语言实现。-BCD subtraction to achieve program code, very complete, using Verilog HDL language.
Platform: | Size: 155648 | Author: 江浩 | Hits:

[VHDL-FPGA-Verilog2BCD

Description: 二进制转BCD码 verilog hdl Quartus II 9.0sp2 编译通过 所有的文件-Binary to BCD code verilog hdl Quartus II 9.0sp2 compile all the documents
Platform: | Size: 286720 | Author: 王冠 | Hits:

[VHDL-FPGA-Verilogbcd counter

Description: Binary counter design in verilog
Platform: | Size: 176128 | Author: Armaghan | Hits:

[VHDL-FPGA-VerilogContadorBCDFinal

Description: Codigo BCD en lenguaje descriptivo verilog CINVES
Platform: | Size: 1024 | Author: danoboook | Hits:

[Embeded-SCM Develop4位BCD计数器

Description: 用Verilog语言编程实现4位BCD计数器的功能(Write the programm with Verilog language to implement the function of 4 - bit BCD counter.)
Platform: | Size: 25600 | Author: limaozi | Hits:

[assembly languageVerilog源代码

Description: 多种基本功能的Verilog代码实现,包括多路选择器,二进制到BCD码转换,二进制到格雷码转换,7段译码器,8位数据锁存器,移位寄存器等等多种功能。(Verilog code implementation of a variety of basic functions, including multiplexer, binary to BCD code conversion, binary to Gray code conversion, 7-segment decoder, 8-bit data latch, shift register and many other functions.)
Platform: | Size: 18432 | Author: MMK1 | Hits:
« 12 3 4 5 6 »

CodeBus www.codebus.net