Welcome![Sign In][Sign Up]
Location:
Search - atm vhdl

Search list

[VHDL-FPGA-VerilogCpld

Description: atmel公司arm926 开发办cpld源代码vhdl写的, 供大家参考-Atmel CPLD Development Office Company ARM926 VHDL source code written for your information
Platform: | Size: 38912 | Author: 邱劲松 | Hits:

[VHDL-FPGA-VerilogFT245_R_W

Description: USB芯片FT245BM读写代码,在Quartus II V7.2上测试成功!---Verilog语言.
Platform: | Size: 1644544 | Author: | Hits:

[ELanguageatm

Description: atm信元检测,CRC循环冗余码编码和校验-atm cell detection, CRC cyclical redundancy check code and
Platform: | Size: 80896 | Author: wh | Hits:

[VHDL-FPGA-VerilogDES101

Description: 数据加密算法(Data Encryption Algorithm,DEA)的数据加密标准(Data Encryption Standard,DES)是规范的描述,它出自 IBM 的研究工作,并在 1997 年被美国政府正式采纳。它很可能是使用最广泛的秘钥系统,特别是在保护金融数据的安全中,最初开发的 DES 是嵌入硬 件中的。通常,自动取款机(Automated Teller Machine,ATM)都使用 DES。文件是DES代码的VHDL描述 -Data encryption algorithm (Data Encryption Algorithm, DEA) of the Data Encryption Standard (Data Encryption Standard, DES) is a standardized description of it from IBM s research work and, in 1997, formally adopted by the U.S. government. It is probably the most widely used secret key system, especially in protecting the safety of financial data, the initial development of DES is embedded in hardware. Usually, automated teller machines (Automated Teller Machine, ATM) are the use of DES. Document is described in VHDL code DES
Platform: | Size: 676864 | Author: | Hits:

[VHDL-FPGA-Verilogatm_crc

Description: VHDL function for calculate ATM CRC
Platform: | Size: 1024 | Author: jools | Hits:

[VHDL-FPGA-VerilogB_PON_OLT_VHDL

Description: ATM-PON(Passive Optical Network) OLT vdhl proj.file
Platform: | Size: 16136192 | Author: mr.jeon | Hits:

[VHDL-FPGA-VerilogB_PON_ONU_VHDL

Description: ATM-PON ONU vhdl proj. file good luck
Platform: | Size: 6310912 | Author: mr.jeon | Hits:

[BooksVerilogtestbench

Description: 西北工业大学航空微电子中心关于如何写testbench的论文。。论文结合一个ATM测试平台的TESTBENCH设计, 讨论了TESTBENCH的结构和总线功能模型(BFM) , 并对使用 BFM模型进行TESTBENCH设计的策略和方法 进行了探讨, 希望能对广大设计者有所帮助。-Aviation Microelectronic Center, Northwestern Polytechnical University, testbench on how to write a paper. . Paper combined with a design of ATM test platform TESTBENCH discussed TESTBENCH structure and bus functional model (BFM), and use the BFM model TESTBENCH design strategies and methods Discussed, hoping to help the vast number of designers.
Platform: | Size: 79872 | Author: | Hits:

[VHDL-FPGA-VerilogCaudaldeRedesATM

Description: Optimizing ATM Nets by Genetic Algorithms
Platform: | Size: 309248 | Author: Alzaris | Hits:

[VHDL-FPGA-Verilogatmvhdlcode

Description: vhdl code for atm machine
Platform: | Size: 16384 | Author: kanchantiwari | Hits:

[VHDL-FPGA-Verilogproject

Description: VHDL编写的ATM代码,能实现全部的功能,经过了测试和仿真。-VHDL code written in ATM, can realize all the functions, after the test and simulation.
Platform: | Size: 793600 | Author: 王东 | Hits:

[LabViewatm-(1)

Description: this simple vhdl code . system of an atm mechine working -this is simple vhdl code . system of an atm mechine working
Platform: | Size: 11264 | Author: Hafeez ur rehman | Hits:

CodeBus www.codebus.net