Welcome![Sign In][Sign Up]
Location:
Search - analog to digital conversion

Search list

[OtherAnalog-to-Digital conversion

Description: Analog-to-Digital conversion
Platform: | Size: 16315463 | Author: xuyue1983@gmail.com | Hits:

[SCM0809_sourse

Description: 0809模数转换原码。ADC0809虽然是一款比较旧的芯片,但是目前好多模数转换芯片都是基于ACD0809设计的。-0809 analog-to-digital conversion original code. A Connection between ADC 0809 is a relatively old chip But now a lot of analog-to-digital conversion are based on ACD0809 chip design.
Platform: | Size: 1024 | Author: adee | Hits:

[VHDL-FPGA-VerilogVHDL_adc0809

Description: 驱动模数转换器ADC0809转换的VHDL代码-Driver Analog to Digital Conversion Connection between ADC 0809 VHDL code
Platform: | Size: 108544 | Author: YI | Hits:

[assembly languageADC0809

Description: 这是一个80C51单片机模数转换C程序,在u vision软件平台上编写。-This is an 80C51 single-chip analog-to-digital conversion C procedure, u vision software platform preparation.
Platform: | Size: 1024 | Author: yanlee | Hits:

[Embeded-SCM Developcpld

Description: 实现8通道模拟/数字转换和数字/模拟转换的例子,采用ISA总线控制逻辑.-Realize 8-channel analog/digital conversion and digital/analog converter example, the use of ISA bus control logic.
Platform: | Size: 3072 | Author: 兰升 | Hits:

[OtherADC0831

Description: 利用ad831实现的模数转换程序,已编译通过,包含编译过的各种文件,可直接烧写-AD831 realize the use of the analog-to-digital conversion process has been compiled through, including various documents compiled direct programmer
Platform: | Size: 6144 | Author: chenguozhong | Hits:

[SCMADC832

Description: 51单片机和ADC0832模数转换芯片和LED的组合,里面有KEIL和PROTEUS文件,通过LED反应所测电阻的阻值-51 SCM and ADC0832 analog-digital conversion chip and a combination of LED, there are KEIL and PROTEUS documents, through the LED response to the measured sheet resistance
Platform: | Size: 77824 | Author: sunzhen | Hits:

[Embeded-SCM DevelopADzhuanhuan

Description: 基于C的模数转换,带注释,简单易懂。经仿真此方法可行,效果不错,在此和大家分享了!-C based on the analog-digital conversion, with notes, easy-to-read. This method is feasible by the simulation results well, in this and we share!
Platform: | Size: 1024 | Author: 钟良孟 | Hits:

[matlabJohnGProakis

Description: 覆盖了全书的信号与线性系统、随机过程、模拟调制、模拟数字转换、基带数字传输、带限信道的数字传输、载波调制的数字传输、信道容量和编码、扩频通信系统以及数字调制方法的SIMULINK仿真的 源代码-Book covers the signal and linear systems, stochastic processes, analog modulation, analog-to-digital conversion, base-band digital transmission, band-limited digital transmission channel, the digital transmission carrier modulation, channel capacity and coding, spread spectrum communication systems, and digital modulation SIMULINK simulation method source code
Platform: | Size: 89088 | Author: xiehui | Hits:

[Special EffectsThesamemethodbasedontheimpulseresponseoftheIIRdigi

Description: 基于冲激响应不变法的IIR数字滤波器设计,冲激响应不变法的设计原理是利用数字滤波器的单位抽样响应序列H(z)来逼近模拟滤波器的冲激响应g(t)。 按照冲激响应不变法的原理,通过模拟滤波器的系统传递函数G(s),可以直接求得数字滤波器的系统函数H(z),其转换步骤如下: 1) 利用ω=ΩT(可由关系式 推导出),将 , 转换成 ,Ω,而 , 不变; 2) 求解低通模拟滤波器的传递函数G(s); 3) 将模拟滤波器的传递函数G(s)转换为数字滤波器的传递函数H(z)。 -The same method based on the impulse response of the IIR digital filter design, the same impulse response method is to use the design principles of digital filter unit sample response sequence of H (z) to approximate the impulse response of analog filters g (t). The same impulse response in accordance with the principles of law, through the analog filters of the system transfer function G (s), digital filters can achieve the system function H (z), the conversion steps are as follows: 1) using ω = ΩT (by relationship is derived), will, convert, Ω, and, unchanged 2) low-pass analog filters to solve the transfer function G (s) 3) the analog filter transfer function G (s) is converted to digital filter transfer function H (z).
Platform: | Size: 22528 | Author: 洪杰 | Hits:

[SCMAnalog

Description: avr atmega8 利用自带的ad转换来进行模数信号的转变。有prouteus仿真-avr atmega8 own ad using the conversion to analog-to-digital signal change. There are prouteus Simulation
Platform: | Size: 171008 | Author: wsd | Hits:

[SCMLast-Loaded-ADC0832-analog-t

Description: Last Loaded ADC0832模数转换与显示-Last Loaded ADC0832 analog to digital conversion and display
Platform: | Size: 41984 | Author: 张凡 | Hits:

[OtherLab4---Analog-to-Digital-Converter-yonas

Description: Analog to digital conversion
Platform: | Size: 83968 | Author: JaredDej | Hits:

[SCMADC0809-analog-to-digital-conversion

Description: ADC0809模数转换与显示 适合AT89C51单片机Proteus开发仿真-ADC0809 analog-to-digital conversion and display for AT89C51 Proteus simulation development
Platform: | Size: 16384 | Author: bella | Hits:

[SCManalog-to-digital-

Description: 单片机c语言编程\单片机源程序超级精华包\无线电制作精汇(2)单片机源程序\用AT89C2051实现模数转换-SCM c language programming \ MCU source package super essence \ radio production Jinwe (2) MCU source \ implement analog to digital conversion using AT89C2051
Platform: | Size: 3072 | Author: 黄亚新 | Hits:

[SCMAD-analog-to-digital

Description: 此程序是由AVR单片机设计的AD模数转换模块,实现不同的转换方案!-This program is designed by AVR MCU AD analog-to-digital conversion module, the transition of different solution!
Platform: | Size: 2048 | Author: 刘礼元 | Hits:

[Embeded-SCM Developanalog-to-digital-conversion-circuit

Description: 基于0808模/数转换器的测试电压的仿真,包括电路图的设计和c语言代码-analog to digital conversion circuit
Platform: | Size: 19456 | Author: wang | Hits:

[Industry researchanalog-to-digital-conversion-by-marcel-j.m-pelgro

Description: analog to digital conversion
Platform: | Size: 16177152 | Author: foroogh | Hits:

[OtherdemoVNT_CANdbFiles

Description: Matlab program for analog to digital conversion which can work on windows or on others exploitation system
Platform: | Size: 1024 | Author: geralsen | Hits:

[OtherAnalog-to-digital audio conversion

Description: Analog-to-digital audio conversion
Platform: | Size: 1153024 | Author: artemdmitriev | Hits:
« 12 3 4 5 6 7 8 9 10 ... 50 »

CodeBus www.codebus.net