Welcome![Sign In][Sign Up]
Location:
Search - altera

Search list

[Other resourceAlter

Description: ALTERA公司附带的ALTER培训资料,比较经典权威
Platform: | Size: 20956499 | Author: 3060421006 | Hits:

[Other resourcePictureBrowser.tar

Description: PictureBrowser 是基于Altera 的DE2 开发板设计图像浏览器,代码是VHDL的
Platform: | Size: 2040476 | Author: 李斌 | Hits:

[Other resourcealtera_avalon_lcd_controller

Description: Altera的基于NIOS II的LCD控制器源代码
Platform: | Size: 32176 | Author: 李斌 | Hits:

[Other resourcealtera_avalon_cy7c1380_ssram

Description: 关于altera的SRAM的读写控制IP代码,有兴趣的朋友可以下去
Platform: | Size: 7200 | Author: liufanyu | Hits:

[Other resourceMulti-ICE_ARM

Description: Altera USB_Blaster下载线制作资料,含图及程序
Platform: | Size: 117567 | Author: andy | Hits:

[Software EngineeringEDA_51

Description: Altera公司提供的NIOS开发板原理图,对利用NIOS实现SOC设计有参考价值
Platform: | Size: 228504 | Author: wangyunshann | Hits:

[Other resourcediff_io_top

Description: LVDS的应用的Verilog HDL例子程序,由altera公司提供。
Platform: | Size: 527848 | Author: wangyunshann | Hits:

[Other resourcexulieji

Description: 在FPGA上实现序列机 用的是Altera公司的DE1板子
Platform: | Size: 332701 | Author: YY | Hits:

[Othersopc_nios

Description: Altera公司推出的NIOS II处理器,非常有用,教程是初步教程
Platform: | Size: 364113 | Author: stcai | Hits:

[Embeded-SCM DevelopAlterForMAX_II

Description: Altera原装MAX_II开发板原理图,是用protel绘制的
Platform: | Size: 293925 | Author: 小郑 | Hits:

[Embeded-SCM DevelopFHT_example

Description: < ALTERA FPGA/CPLD 高级篇>>光盘资料中 体会“面积和速度的平衡与互换” 例程
Platform: | Size: 229738 | Author: shicheng342 | Hits:

[Embeded-SCM DevelopDDRinterface

Description: 《ALTERA FPGA/CPLD高级篇》高速DDR存储器数据接口设计实例
Platform: | Size: 25327 | Author: shicheng342 | Hits:

[Embeded-SCM DevelopHSDIinterface

Description: 《ALTERA FPGACPLD高级篇》高速串行差分接口(HSDI)设计实例
Platform: | Size: 291524 | Author: shicheng342 | Hits:

[Embeded-SCM DevelopLogicLock

Description: 《ALTERA FPGACPLD高级篇》LogicLock设计实例
Platform: | Size: 2541735 | Author: shicheng342 | Hits:

[Other resourcesource

Description: SDRAM通用接口程序,和Altera所给标准一致
Platform: | Size: 14630 | Author: 王并 | Hits:

[Other resourcei2c_p_altera

Description: altera i2c slave ip核verilog 编写
Platform: | Size: 1582893 | Author: 1984taozi | Hits:

[Embeded-SCM DevelopALTERA_DE2_FSM_VHDL

Description: This an exercise in using finite state machines.基于ALTERA的DE2开发 平台,设计一个有限状态机FSM(finite state machines).
Platform: | Size: 76130 | Author: sopc | Hits:

[Other resourcepsk

Description: 利用VHDL语言实现在,altera 公司的cyclone芯片上实现数字信号的2psk调制解调功能
Platform: | Size: 293911 | Author: 叶峰 | Hits:

[Other resourceBFSK_VHDL_CODING

Description: 使用DDS技术,应用altera公司的芯片,以及杭州康芯公司的试验箱,实现BFSK信号的调制解调
Platform: | Size: 265992 | Author: 叶峰 | Hits:

[Other resourceLEDhanzigundong_VHDL

Description: 本文主要讨论了使用EDA工具设计汉字滚动显示器的技术问题。文中首先描述了基于现场可编程门阵列(FPGA)的硬件电路;然后研究了在8×8LED发光二极管点阵上显示滚动汉字的原理,并给出了基于ALTERA的参数化模型库LPM描述其功能的VHDL语言程序设计;最后对使用EDA工具软件加工被显示数据文件的方法进行了讨论。
Platform: | Size: 186110 | Author: wang | Hits:
« 1 2 ... 44 45 46 47 48 4950 »

CodeBus www.codebus.net