Welcome![Sign In][Sign Up]
Location:
Search - adc0809 fpga

Search list

[Other resourceADC0809

Description: adc0809的fpga时序电路接口程序
Platform: | Size: 196862 | Author: yc | Hits:

[Other resourceADC0809

Description: adc0809数模转换芯片fpga控制程序
Platform: | Size: 57644 | Author: conanhfl | Hits:

[Other resourceadc0809

Description: 基于北京革新公司出品的EDA实验工具箱的数模转换程序。该程序将输入的5V信号从01至FF量化并通过2位数码管进行显示。量化精度为0.1v。编译环境为quartusll.5.1版本。fpga芯片为EP18CQ240C6
Platform: | Size: 162428 | Author: ck | Hits:

[VHDL-FPGA-Verilogmuxfile

Description: 基于FPGA设计ADC0809采样控制器原代码-FPGA-based design ADC0809 Sampling Controller source
Platform: | Size: 50176 | Author: 王寒 | Hits:

[VHDL-FPGA-VerilogADCINT

Description: 此程序基于ADC0809,它是CMOS的8位A/D转换器,片内有8路模拟开关,可控制8个模拟量中的一个进入转换器中。-Connection between ADC 0809, it was the eight CMOS A/D converters. Tablets containing eight analog switches, control eight of analog converters enter a Chinese.
Platform: | Size: 1024 | Author: 空气 | Hits:

[File FormatFPGA_27eg

Description: FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MASK调制VHDL程序及仿真; MFSK调制VHDL程序及仿真; MPSK调制与解调VHDL程序与仿真; 基带码发生器程序设计与仿真; 频率计程序设计与仿真; 采用等精度测频原理的频率计程序与仿真; 电子琴程序设计与仿真 2004.8修改; 电子琴程序设计与仿真; 电梯控制器程序设计与仿真; 电子时钟VHDL程序与仿真; 自动售货机VHDL程序与仿真; 出租车计价器VHDL程序与仿真 2004.8修改; 出租车计价器VHDL程序与仿真; 波形发生程序; 步进电机定位控制系统VHDL程序与仿-FPGA value of the 27 examples. Rar including LED control procedures and VHDL simulation 200 4.8 amendments. doc; LED control procedures and VHDL simulation; LCD control procedures and VHDL simulation 2004.8 modified; LCD control procedures and VHDL simulation; Connection between ADC 0809 VHDL control procedures; TLC5510 VHDL control procedures; DAC0832 interface circuits; TLC7524 interface circuits; URAT procedures and VHDL simulation; ASK modulation and demodulation process and VHDL simulation; FSK modulation and demodulation process and VHDL simulation; PSK modulation and demodulation process and VHDL simulation; MASK modulation procedures and VHDL simulation; MFSK modulation procedures and VHDL simulation; MPSK modulation and demodulation process and VHDL simulation; Base-band code gene
Platform: | Size: 1278976 | Author: | Hits:

[VHDL-FPGA-Verilogfpgacaiji

Description: 自己课程设计写的程序,用FPGA控制ADC0809的转换时序来完成模/数转换,然后将转换完的数字信号传递给0832-write their own curriculum design process, Connection between ADC 0809 FPGA control the timing to complete the conversion analog/digital conversion, End then converting the digital signal to transmit 0832
Platform: | Size: 3072 | Author: xuman | Hits:

[VHDL-FPGA-VerilogADC0809

Description: adc0809的fpga时序电路接口程序-Sequential Circuits adc0809 the FPGA interface program
Platform: | Size: 196608 | Author: yc | Hits:

[VHDL-FPGA-VerilogADC0809

Description: adc0809数模转换芯片fpga控制程序
Platform: | Size: 57344 | Author: conanhfl | Hits:

[VHDL-FPGA-Verilog50vvoltmeter

Description:
Platform: | Size: 138240 | Author: 丁珊珊 | Hits:

[VHDL-FPGA-Verilogadc0809

Description: 基于北京革新公司出品的EDA实验工具箱的数模转换程序。该程序将输入的5V信号从01至FF量化并通过2位数码管进行显示。量化精度为0.1v。编译环境为quartusll.5.1版本。fpga芯片为EP18CQ240C6-Beijing-based company produced innovative EDA experimental toolbox of digital-analog conversion process. The program will be entered into the 5V signal from 01 to FF quantified by two digital tube display. Quantify the accuracy of 0.1v. Quartusll.5.1 version of the compiler environment. FPGA chip for EP18CQ240C6
Platform: | Size: 161792 | Author: ck | Hits:

[VHDL-FPGA-VerilogADC0809

Description: 用CPLD/FPGA驱动ADC0809芯片的VHDL源程序-Using CPLD/FPGA drive ADC0809 chip VHDL source
Platform: | Size: 156672 | Author: peter | Hits:

[VHDL-FPGA-VerilogADC0809

Description: 模数转换器件ADC0809的详细中文资料,附VHDL语言编写的基于FPGA的ADC0809控制设计代码-ADC0809 ADC detailed pieces of information in Chinese, with VHDL language ADC0809 based control design of the FPGA code
Platform: | Size: 129024 | Author: zll | Hits:

[VHDL-FPGA-VerilogADC0809

Description: VHDL写的ADC0809的控制转换程序,很容易就看懂的,结构明晰,还有示波器输出模式。-ADC0809 write VHDL control the conversion process, it is easy to understand, and the structure of clarity, as well as the output mode oscilloscope.
Platform: | Size: 1024 | Author: 袁野 | Hits:

[VHDL-FPGA-Verilogadc0809

Description: VHDL FPGA ADC0809 数模转换 状态机-VHDL FPGA ADC0809 DAC state machine
Platform: | Size: 138240 | Author: 喻炜 | Hits:

[VHDL-FPGA-Verilog8.4ADC0809

Description: FPGA中用VHDL编写的AD0809的转换接口电路及程序源码-FPGA using VHDL prepared AD0809 conversion interface circuit and program source code
Platform: | Size: 4096 | Author: felix chin | Hits:

[VHDL-FPGA-VerilogADC0809

Description: 基于VHDL语言,实现对ADC0809简单控制。ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟信号,这里由FPGA的系统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟-Based on VHDL language, to achieve simple control of ADC0809. ADC0809 no internal clock, an external 10KHz ~ 1290Hz clock signal, where the FPGA system clock (50MHz) divided by 256 get clk1 (195KHz) as the conversion clock ADC0809
Platform: | Size: 410624 | Author: 李维 | Hits:

[VHDL-FPGA-Verilogadc0809

Description: 利用FPGA控制ADC0809采样电压,并通过数码管显示电压数值-ADC0809 FPGA control by sampling the voltage and the voltage value through the digital display
Platform: | Size: 843776 | Author: 雷旦 | Hits:

[VHDL-FPGA-VerilogADC0809

Description: ADC0809为8位AD,程序为利用FPGA实现ADC0809对于信号的模数转换。-ADC0809 8-bit AD, procedures for the use of FPGA implementation ADC0809 analog to digital conversion for the signal.
Platform: | Size: 349184 | Author: stt | Hits:

[VHDL-FPGA-VerilogADC0809

Description: fpga控制adc0809,用VHDL写的,已经验证可用-the control of adc0809,written in VHDL
Platform: | Size: 1024 | Author: wuwei | Hits:
« 12 3 4 »

CodeBus www.codebus.net