Welcome![Sign In][Sign Up]
Location:
Search - Verilog HDL FFT

Search list

[Other resourcefft1024

Description: 1024点fft verilog hdl
Platform: | Size: 24634 | Author: 罗运 | Hits:

[Embeded-SCM Developverilog HDL FFT程序

Description: 采用的verilog HDL语言编写的FFT的程序
Platform: | Size: 142482 | Author: hj445300@163.com | Hits:

[VHDL-FPGA-Verilogfft1024

Description: 1024点fft verilog hdl-1024-point fft verilog hdl
Platform: | Size: 24576 | Author: | Hits:

[VHDL-FPGA-VerilogCORDIC

Description: 介绍了CORDIC数字计算机的设计,采用的是verilogHDL,在modelsim上可以实现仿真验证,压缩包中包含CORDIC的工作结构图,比较详细-Introduced the CORDIC digital computer design, using the verilogHDL, can be achieved on the ModelSim simulation, compressed package that contains the work of CORDIC structure diagram, a more detailed
Platform: | Size: 141312 | Author: yaoyongshi | Hits:

[OtherVerilog-PPT

Description: Verilog HDL语言的PPT教程。包括简介、逻辑概念、语法和示例。-Verilog HDL language tutorial PPT. Including profiles, the logic of concepts, syntax and examples.
Platform: | Size: 536576 | Author: 翟红光 | Hits:

[VHDL-FPGA-Verilogfftshixian

Description: OFDM系统中FFT的Verilog HDL 语言实现。-OFDM system FFT of Verilog HDL language.
Platform: | Size: 14512128 | Author: 江金华 | Hits:

[VHDL-FPGA-VerilogFPGA_FFT

Description: 基于FPGA的高速FFT处理器的设计与实现-FPGA-based high-speed FFT Processor Design and Implementation
Platform: | Size: 73728 | Author: 萧球水 | Hits:

[Linux-UnixLinux_bc

Description: 对vga接口做了详细的介绍,并且有一 ·三段式Verilog的IDE程序,但只有DMA ·电子密码锁,基于fpga实现,密码正 ·IIR、FIR、FFT各模块程序设计例程, ·基于逻辑工具的以太网开发,基于逻 ·自己写的一个测温元件(ds18b20)的 ·光纤通信中的SDH数据帧解析及提取的 ·VHDL Programming by Example(McGr ·这是CAN总线控制器的IP核,源码是由 ·FPGA设计的SDRAM控制器,有仿真代码 ·xilinx fpga 下的IDE控制器原代码, ·用verilog写的,基于查表法实现的LO ·精通verilog HDL语言编- up:in STD_LOGIC down:in STD_LOGIC run_stop:in STD_LOGIC wai_t: in std_logic_vector(2 downto 0) lift:in std_logic_vector(2 downto 0) ladd: out std_logic_vector(1 downto 0) ) end control
Platform: | Size: 18683904 | Author: liuzhou | Hits:

[VHDL-FPGA-Verilogfft_hdl

Description: 一个 16点 FFT 用基2蝶形运算单元完成,有测试环境。-16 points FFT with a radix-2 butterfly computation unit is completed and test environment.
Platform: | Size: 21504 | Author: wei | Hits:

[Software EngineeringFFT64_GN_DT_1_0

Description:
Platform: | Size: 140288 | Author: 李云龙 | Hits:

[Software Engineeringfft_fpga

Description: FFT(快速傅里叶变化)蝶形算法 Verilog HDL语言-FFT Verilog HDL
Platform: | Size: 704512 | Author: 李云龙 | Hits:

[VHDL-FPGA-Verilogfft

Description: Quartusii的FFT,使用Verilog HDL 语言的FFT-FFT based on Quartusii
Platform: | Size: 6505472 | Author: 孙兰 | Hits:

[VHDL-FPGA-Verilogsource-(5)

Description: FFT using Verilog-HDL
Platform: | Size: 4096 | Author: Jake | Hits:

[VHDL-FPGA-Verilogbutter

Description: 基-2的FFT的蝶形算法,verilog HDL 的源代码--2 Of the FFT butterfly-based algorithms, verilog HDL source code
Platform: | Size: 4096 | Author: Mio | Hits:

[VHDL-FPGA-Verilogsynth_fft

Description: fftprocessing can complete 256 pointsFFT.-Hardware Description Language(HDL)is an advanced electronic designmethod.After HDL was put into use,it has draw great attention and gained popularity.The design used Verilog HDL and Schematic for entry tools having good effect in the system design,Meanwhile,it adopted the core provided by Xilinx/nc. improving the design efficiency.The whole design which is implemented inXC2S600E device relied on ISE and advanced hierarchy design mind.Furthermore,it is simulated and verified.The frequency attains to 40.64MHz.this paper aims at demonstration the applying FPGA to FFT signal processing can complete 256 pointsFFT.
Platform: | Size: 56320 | Author: zzy | Hits:

[VHDL-FPGA-Verilog1024FFT-verilog-hdl

Description: 基于spartan 3e 的IFFT算法verilog HDL程序-Based on the verilog 3e Spartan IFFT algorithm of HDL program
Platform: | Size: 437248 | Author: caizhixiang | Hits:

[Algorithm1024point-fft--using-verilog-hdl

Description: 1024点快速傅里叶变换,使用verilog hdl硬件描述语言-1024point FFT,using verilog hdl
Platform: | Size: 28672 | Author: 毋宁 | Hits:

[VHDL-FPGA-Verilog数字信号处理的FPGA实现-第三版-verilog源程序

Description: 数字信号处理的FPGA实现, 包括了FPGA基础知识,浮点运算,信号处理的FIR FFT等,附录包含源代码(Digital signal processing FPGA implementation, including the basic knowledge of FPGA, floating point operations, signal processing FIR, FFT, etc., the appendix contains the source code)
Platform: | Size: 4568064 | Author: btty | Hits:

[DSP programfft

Description: fpga,fft, verilog HDL codes
Platform: | Size: 5583872 | Author: mrv | Hits:

[OtherAD多通道采集 FFT实验

Description: FFT核和AD多通道采集的Verilog HDL(Verilog HDL with FFT Core and AD Multichannel Acquisition)
Platform: | Size: 4799488 | Author: xq001 | Hits:
« 12 »

CodeBus www.codebus.net