Welcome![Sign In][Sign Up]
Location:
Search - VHDL AD0809

Search list

[Other resourcevhdl_ad0809_arm

Description: 本程序是用VHDL语言写的,包括AD0809,双口RAM等程序。已经调试过-this program is written in VHDL, including the AD0809, dual-port RAM, and other procedures. Debugging has been too
Platform: | Size: 4367 | Author: lm | Hits:

[Other resource0809conventorvhdl

Description: 1.AD0809转换器的vhdl实现 2.用状态机来实现不同状态的动态切换,思路明晰简单实现。 3.内含注释,易于修改和理解 4.对数码管的动态扫描,显示 -1.AD0809 converters to achieve the two vhdl. Using the state machine to achieve the different states of dynamic switching thinking, clarity simple to achieve. 3. Notes intron and easy to understand and 4. Digital control of the dynamic scan showed
Platform: | Size: 1195 | Author: 方周 | Hits:

[Other resourceAD0809

Description: 由VHDL 语言实现的AD0809用的是KEIL环境已经得到验证
Platform: | Size: 4106 | Author: df | Hits:

[VHDL-FPGA-Verilogad_da

Description: 芯片ad0809与da0832的实现程序-ad0809 chip with the realization procedures da0832
Platform: | Size: 2048 | Author: 张建 | Hits:

[Windows Developise6.3ad0809_test

Description: 本程序为VHDL语言编写的ADC0809的采样程序 并用DA0800恢复-procedures for the preparation of the VHDL ADC0809 the sampling procedures used to restore DA0800
Platform: | Size: 4096 | Author: tmx | Hits:

[VHDL-FPGA-Verilogvhdl_ad0809_arm

Description: 本程序是用VHDL语言写的,包括AD0809,双口RAM等程序。已经调试过-this program is written in VHDL, including the AD0809, dual-port RAM, and other procedures. Debugging has been too
Platform: | Size: 4096 | Author: lm | Hits:

[SCM0809conventorvhdl

Description: 1.AD0809转换器的vhdl实现 2.用状态机来实现不同状态的动态切换,思路明晰简单实现。 3.内含注释,易于修改和理解 4.对数码管的动态扫描,显示 -1.AD0809 converters to achieve the two vhdl. Using the state machine to achieve the different states of dynamic switching thinking, clarity simple to achieve. 3. Notes intron and easy to understand and 4. Digital control of the dynamic scan showed
Platform: | Size: 1024 | Author: 方周 | Hits:

[SCMAD0809

Description: 由VHDL 语言实现的AD0809用的是KEIL环境已经得到验证-By the VHDL language used in the AD0809 is KEIL environment has been tested
Platform: | Size: 4096 | Author: df | Hits:

[VHDL-FPGA-VerilogADC0809

Description: VerlogHDL 代码,对AD0809读取的数据显示译码,采取查找表的方式
Platform: | Size: 1024 | Author: 刘红亮 | Hits:

[VHDL-FPGA-Verilogfifo

Description: 此程序为存储器常用的FIFO(先入先出),程序中没有指明位宽,这样更适合于初学者进行套用-This process commonly used for the memory FIFO (FIFO), the procedure is not specified bit, so more suitable for beginners to apply
Platform: | Size: 1024 | Author: zhaohongliang | Hits:

[VHDL-FPGA-Verilogsys_0820

Description: 使用VHDL语言描述AD0809芯片功能,实现芯片的硬件描述-The use of VHDL language description AD0809 chip function, the realization of chip hardware description
Platform: | Size: 10910720 | Author: 李冰 | Hits:

[SCMADC

Description: 对AD0809进行操作,将AD转换后的结果直接对对8个发光二极管进行赋值,程序有详细的注释!-Operation of the AD0809 will be the result of AD conversion directly against eight light-emitting diodes for assignment, procedures detailed notes!
Platform: | Size: 344064 | Author: 高培 | Hits:

[VHDL-FPGA-Verilogad0809

Description: verilog_ad0809 cpld control
Platform: | Size: 145408 | Author: zhx | Hits:

[VHDL-FPGA-Verilogad0809

Description: 本程序基于ad0809,通过数码管显示0V--+5V电压。-The program is based on ad0809, via digital display 0V-+5 V voltage.
Platform: | Size: 1618944 | Author: Ricky | Hits:

[VHDL-FPGA-Verilogad0809

Description: 对ad0809的控制代码- ad0809control
Platform: | Size: 1024 | Author: 邱生贵 | Hits:

[VHDL-FPGA-Verilogad0809vhdl

Description: 用vhdl编写的ad0809,不过所实现的不能直接输入模拟信号,而是只能是整数信号-Prepared using vhdl ad0809, but can not be directly implemented by the input analog signal, but can only be an integer signal
Platform: | Size: 1024 | Author: 潘牧野 | Hits:

[VHDL-FPGA-VerilogAD0809-VHDL

Description: ADC0809模数转换器 VHDL 代码,用数码管显示值-ADC0809 ADC VHDL code, with digital display
Platform: | Size: 1024 | Author: zcp2403 | Hits:

[VHDL-FPGA-VerilogAD0809

Description: 基于FPGA的AD/DA控制VHDL程序-Based on the FPGA AD/DA control VHDL program
Platform: | Size: 1024 | Author: wang | Hits:

[VHDL-FPGA-VerilogAD0809

Description: AD0809的采样控制设计,经过试验成功了得-AD0809 sampling control design
Platform: | Size: 268288 | Author: shicunying | Hits:

[OtherVHDL-basedAD0809

Description: 使用VHDL语言编写的AD0809驱动程序,输入0 ~5V的电压,输出8位二进制代码,0V对应“00000000”,5V对应“11111111”。-Using VHDL language AD0809 driver, enter 0 ~ Voltage of 5V, output 8-bit binary code, 0V corresponds to 00000000 , 5V corresponds to 11111111.
Platform: | Size: 210944 | Author: 于润伟 | Hits:
« 12 »

CodeBus www.codebus.net