Welcome![Sign In][Sign Up]
Location:
Search - VGA

Search list

[Embeded Linuxuclinux.vga.driver

Description: UCLINUX2.6核下的vga驱动。基于framebuffer机理。硬件设计采用基于FPGA的软核NIOSII设计。-UCLINUX2.6 the upper vga driver. Based on the mechanism of the framebuffer. Hardware design using FPGA-based soft-core NIOSII design.
Platform: | Size: 4096 | Author: Ray ZH | Hits:

[VHDL-FPGA-Verilogvga

Description: vhdl经典源代码——vga控制,入门者必须掌握-vhdl classical source code-- vga control, beginners must master
Platform: | Size: 801792 | Author: jeffery | Hits:

[Other Embeded programVGA

Description: VGA Component for Altera SOPC Builder on DE2
Platform: | Size: 518144 | Author: 李大同 | Hits:

[Technology Managementvga

Description: vga接口,摄像头,数码相框,都用到哦,快下载吧-vga interface, camera, digital photo frame, are used Oh, quick to download it
Platform: | Size: 2982912 | Author: linchan | Hits:

[source in ebookvga

Description: 程序实现的功能是在VGA显示器上显示彩色条纹,共8种颜色, 可以使用嵌入式逻辑分析仪观测信号-Realize the function of the procedure is displayed on the monitor in the VGA color stripes, a total of eight kinds of colors, you can use the embedded logic analyzer observation signal
Platform: | Size: 6144 | Author: bayernb | Hits:

[File FormatVGA

Description: 电源:稳压的+5V电源,电流小于300mA。 视频输入:RGB+HSYNC+VSYNC信号,取自VGA卡,刷新率与NTSC标准兼容。 视频输出:混合视频和S-视频(Y/C)。 支持的视频标准:PAL B、G、H和NTSCM。 电路要求VGA卡能发送与PAL或NTSC标准视频时序兼容的RGB格式视频信号。 -Power: 5 V regulated power supply current of less than 300mA. Video input: RGB HSYNC VSYNC signals from the VGA card, refresh rate compatible with the NTSC standard. Video Output: Mixed video and S-video (Y/C). Supported video standards: PAL B, G, H and NTSCM. Circuit requirements of VGA cards can be sent with the PAL or NTSC standard video timing compatible RGB format video signals.
Platform: | Size: 32768 | Author: | Hits:

[ARM-PowerPC-ColdFire-MIPSVGA

Description: 在Altera公司NIOS IDE开发中控制VGA显示,运行通过,可以直接移植,-Altera Corporation in the NIOS IDE development control VGA display, running through, can be directly transplanted
Platform: | Size: 1024 | Author: 罗生 | Hits:

[VHDL-FPGA-VerilogVGA

Description: 用来实现VGA发生时序,显示颜色,用CPLD实现-Used to realize the occurrence VGA timing, display color, with CPLD realize
Platform: | Size: 312320 | Author: | Hits:

[VHDL-FPGA-Verilogvga

Description: VHDL书写VGA源码,可用于FPGA和CPLD-VGA source code written in VHDL can be used for FPGA and CPLD
Platform: | Size: 29696 | Author: yuekun | Hits:

[VHDL-FPGA-Verilogvga

Description: 一个VHDL产生的VGA彩条信号程序,希望可以对你有帮助!-VHDL generated by a VGA color signal process, I hope you can help!
Platform: | Size: 193536 | Author: 白杨 | Hits:

[Graph programVGA

Description: 利用fpga控制VGA显示,很实用的,对于初学FPGA的同志,有帮助。-FPGA to control the use of VGA display, it is useful for the beginner FPGA comrades, helpful.
Platform: | Size: 438272 | Author: 杜菲 | Hits:

[VHDL-FPGA-VerilogVGA.txt

Description: 基于FPGA的VGA显示器彩条发生器 是必备的VGA原码控制-FPGA-based color VGA display generator is an essential source control VGA
Platform: | Size: 1024 | Author: 王伟 | Hits:

[VHDL-FPGA-VerilogVGA

Description: VGA显示的例子(VHDL语言),实现彩条显示,按键reset实现切换功能。-VGA display example (VHDL language), to achieve color display, reset button switch function to achieve.
Platform: | Size: 342016 | Author: 侯典华 | Hits:

[VHDL-FPGA-VerilogCPLD-VGA

Description: 有关verilog的硬件实现VGA设计的代码。-On the Verilog hardware design realize VGA code.
Platform: | Size: 233472 | Author: qqq | Hits:

[VHDL-FPGA-VerilogVGA

Description: VGA的IP核,下载即可用,解压到指定目录下就可以了,参照里面的read me.-VGA
Platform: | Size: 23552 | Author: 王鹏 | Hits:

[VHDL-FPGA-Verilogvga

Description: xilinx 开发板程序,VGA控制显示-Xilinx development board procedures, VGA display control
Platform: | Size: 167936 | Author: zhang | Hits:

[Windows Developvga

Description: 在cyclone2芯片上实现VGA解决方案,可以将模拟示波器波形在电脑上显示。也可以储存波形文件来显示 -In cyclone2 chip VGA solutions, Analog Oscilloscope waveforms can be displayed on the computer. Can also be stored waveform file to display
Platform: | Size: 2048 | Author: 王忠 | Hits:

[VHDL-FPGA-VerilogVGA

Description: FPGA驱动VGA接口显示字符 -FPGA-driven interface VGA display characters
Platform: | Size: 2048 | Author: 随风 | Hits:

[BooksVGA

Description: 基于FPGA的VGA显示接口的研究与设计-FPGA-based VGA Display Interface Research and Design
Platform: | Size: 194560 | Author: xixi | Hits:

[VHDL-FPGA-VerilogVGA

Description: 这个试验要配合EDA4.0底板一起使用.先将核心板插在EDA4.0底板上面,然后接上VGA显示器. 1。源文件保存在src目录,QII的工程文件保存在Proj目录; 2。程序实现的功能是在VGA显示器上显示彩色条纹,共8种颜色; 3。具体设计参考代码。 -The pilot should be used in conjunction with the backplane EDA4.0. First core plate inserted EDA4.0 floor above, and then connect to VGA monitors .1. Source file stored in the src directory, QII the project file stored in the directory Proj 2. Realize the function of the procedure is displayed on the monitor in the VGA color stripes, a total of eight kinds of color 3. Specific reference code.
Platform: | Size: 58368 | Author: Jak | Hits:
« 1 23 4 5 6 7 8 9 10 ... 50 »

CodeBus www.codebus.net