Welcome![Sign In][Sign Up]
Location:
Search - TLC5510.VHDL

Search list

[Other resourceTLC5510.VHDL

Description: TLC5510 VHDL控制程序 基于VHDL语言,实现对高速A/D器件TLC5510控制-TLC5510 VHDL control procedures based on the VHDL language, to achieve high-speed A / D control device TLC5510
Platform: | Size: 3351 | Author: 少龙 | Hits:

[Other resourceVHDL

Description: 包含TLC5510 VHDL控制程序,TLC7524接口电路程序和URAT VHDL程序与仿真,都已调试过.
Platform: | Size: 92496 | Author: gillyamylee | Hits:

[VHDL-FPGA-VerilogTLC5510.VHDL

Description:
Platform: | Size: 3072 | Author: 少龙 | Hits:

[File FormatFPGA_27eg

Description: FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MASK调制VHDL程序及仿真; MFSK调制VHDL程序及仿真; MPSK调制与解调VHDL程序与仿真; 基带码发生器程序设计与仿真; 频率计程序设计与仿真; 采用等精度测频原理的频率计程序与仿真; 电子琴程序设计与仿真 2004.8修改; 电子琴程序设计与仿真; 电梯控制器程序设计与仿真; 电子时钟VHDL程序与仿真; 自动售货机VHDL程序与仿真; 出租车计价器VHDL程序与仿真 2004.8修改; 出租车计价器VHDL程序与仿真; 波形发生程序; 步进电机定位控制系统VHDL程序与仿-FPGA value of the 27 examples. Rar including LED control procedures and VHDL simulation 200 4.8 amendments. doc; LED control procedures and VHDL simulation; LCD control procedures and VHDL simulation 2004.8 modified; LCD control procedures and VHDL simulation; Connection between ADC 0809 VHDL control procedures; TLC5510 VHDL control procedures; DAC0832 interface circuits; TLC7524 interface circuits; URAT procedures and VHDL simulation; ASK modulation and demodulation process and VHDL simulation; FSK modulation and demodulation process and VHDL simulation; PSK modulation and demodulation process and VHDL simulation; MASK modulation procedures and VHDL simulation; MFSK modulation procedures and VHDL simulation; MPSK modulation and demodulation process and VHDL simulation; Base-band code gene
Platform: | Size: 1278976 | Author: | Hits:

[VHDL-FPGA-VerilogTLC5510

Description: altera Quartus II TLC晶片控制 可控制暫存器,手動調整內碼。 (含電路) -altera Quartus II TLC chip control registers can be controlled manually adjust the code. (With circuit)
Platform: | Size: 129024 | Author: 陳小龍 | Hits:

[VHDL-FPGA-VerilogVHDL

Description: 包含TLC5510 VHDL控制程序,TLC7524接口电路程序和URAT VHDL程序与仿真,都已调试过.-TLC5510 VHDL contains control procedures, TLC7524 interface circuit processes and procedures and URAT VHDL simulation, have been debug.
Platform: | Size: 92160 | Author: gillyamylee | Hits:

[OtherTLC5510-VHDL

Description: tcl5510的控制程序,采用硬件描述语言VHDL编写,对与刚入门的学者有很大的帮助-tcl5510 control procedures, the use of VHDL hardware description language to prepare for entry-level academics and just very helpful
Platform: | Size: 3072 | Author: chenqin | Hits:

[VHDL-FPGA-Verilogtlc5510

Description: TI公司的TLC5510的用VHDL写的控制器及其仿真-TI' s TLC5510 use the controller to write VHDL and its simulation
Platform: | Size: 1239040 | Author: yangzhibin | Hits:

[VHDL-FPGA-VerilogTLC5510

Description: 德州仪器的告诉ADTLC5510的控制电路设计,用VHDL语言编写-Texas Instruments ADTLC5510 tell the control circuit design, VHDL language
Platform: | Size: 3072 | Author: wang | Hits:

[VHDL-FPGA-VerilogTLC5510VHDL

Description: 8.5 TLC5510 VHDL控制程序 见随书所附光盘中文件:TLC5510VHDL程序与仿真。 --文件名:TLC5510.vhd --功能:基于VHDL语言,实现对高速A/D器件TLC5510控制 --最后修改日期:2004.3.20 -8.5 TLC5510 VHDL control procedures, see the book with accompanying CD-ROM in the file: TLC5510VHDL procedures and simulation.- File Name: TLC5510.vhd- features: Based on the VHDL language, to achieve high-speed A/D control devices TLC5510- Last modified date: 2004.3.20
Platform: | Size: 3072 | Author: wangnan | Hits:

[VHDL-FPGA-VerilogTLC5510

Description: 基于FPGA的TLC5510控制器的设计VHDL源码-FPGA-based controller design TLC5510 the VHDL source code
Platform: | Size: 1142784 | Author: sky | Hits:

[VHDL-FPGA-VerilogTLC5510

Description: 文件名:TLC5510.vhd 功能:基于VHDL语言,实现对高速A/D器件TLC5510控制 -File Name: TLC5510.vhd features: Based on the VHDL language, to achieve high-speed A/D control devices TLC5510
Platform: | Size: 3072 | Author: Aria | Hits:

[VHDL-FPGA-VerilogTLC5510

Description: tlc5510的vhdl程序,有详细的工程文件,为初学者提供很好多的资料-tlc5510 of vhdl procedures, detailed engineering documents, in order to provide a good amount of information for beginners
Platform: | Size: 35840 | Author: wangyl | Hits:

[Software EngineeringTLC5510

Description: 基于VHDL语言,实现对高速A/D 器件TLC5510 控制-Based on the VHDL language, to achieve high-speed A/D control devices TLC5510
Platform: | Size: 1024 | Author: Aaron Chen | Hits:

[VHDL-FPGA-VerilogTLC5510

Description: VHDL实现对TLC5510的控制,带有signaltap仿真图-VHDL implementation of the TLC5510 control, with signaltap simulation diagram
Platform: | Size: 3077120 | Author: 成天 | Hits:

[Embeded-SCM DevelopTLC5510VHDL

Description: 8.5 TLC5510 VHDL控制程序.doc-8.5 TLC5510 VHDL control procedures. Doc
Platform: | Size: 3072 | Author: 李传敏 | Hits:

[VHDL-FPGA-Verilog17869318fpga-example1

Description: FPGA实例包含UARTverilog TLC7524接口电路程序 TLC5510 VHDL控制程序 DAC0832 接口电路程序 LCD控制VHDL程序与仿真等-FPGA interface circuit examples include UARTverilog TLC7524 TLC5510 VHDL process control procedures procedures DAC0832 LCD control interface circuit and simulation of VHDL procedures and so on
Platform: | Size: 66560 | Author: yang | Hits:

[VHDL-FPGA-VerilogTLC5510-VHDL

Description: (1)UART发送器VHDL程序 --文件名:transfer.vhd。 --功能:UART发送器。 --说明:系统由五个状态(x_idle,x_start,x_wait,x_shift,x_stop)和一个进程构成。 -(1) UART transmitter VHDL program- the file name: transfer.vhd.- Function: UART transmitter.- Description: The system consists of five states (x_idle, x_start, x_wait, x_shift, x_stop) and a process of composition.
Platform: | Size: 3072 | Author: pepsiprite | Hits:

[VHDL-FPGA-Verilog-TLC5510-VHDL

Description: 学习控制的好资料,可以很快掌握学习方法,慢慢研究会有收获的-Learning control of good information, you can quickly learn to master the method of study will be harvested slowly
Platform: | Size: 3072 | Author: xiong06041433 | Hits:

[VHDL-FPGA-VerilogTLC5510-VHDL-controller

Description: TLC5510的控制与仿真源代码,是初学者的良好参考-TLC5510 control and simulation source code, is a good reference for beginners
Platform: | Size: 3072 | Author: guofeng | Hits:
« 12 »

CodeBus www.codebus.net