Welcome![Sign In][Sign Up]
Location:
Search - RGB2YCBCR

Search list

[Other resourceRGB2YCbCr

Description: color space converter,from RGB to YUV. that is c code
Platform: | Size: 755 | Author: 馬旧 | Hits:

[Picture ViewerRGB2YCbCr

Description: color space converter,from RGB to YUV. that is c code-color space converter, from RGB to YUV.that is c code
Platform: | Size: 1024 | Author: 馬旧 | Hits:

[Othercolor_space_converters

Description: Color space converter in Verilog HDL
Platform: | Size: 45056 | Author: megkel | Hits:

[DSP programrgb2ycbcr

Description: conver rgb image to yuv
Platform: | Size: 2048 | Author: dan | Hits:

[VHDL-FPGA-Verilogxapp930

Description: RGB to Y CB CR conversion source code in VHDL
Platform: | Size: 46080 | Author: niki | Hits:

[Special EffectsRGB2YCbCr

Description: JPEG标准中图像彩色空间的转换,开发语言为Verilog-Standard JPEG image color space conversion, the development of language for Verilog
Platform: | Size: 2048 | Author: 卫立波 | Hits:

[Graph programrgb2ycbcr

Description: 进行空间转换来用的,在图像处理上很有用-to translate the RGB to YUV
Platform: | Size: 1024 | Author: jiaochanni | Hits:

[Compress-Decompress algrithmsrgb2ycbcr[1]

Description: This a script to convert Images RGB to YCbCr-This is a script to convert Images RGB to YCbCr
Platform: | Size: 284672 | Author: Rafael | Hits:

[Linux-Unixrgb2ycbcr

Description: 颜色转换必不可少的工具。分 sd hd, yuv ycbcr ypbpr 等多个format-Indispensable tool for color conversion. Sub-sd hd, yuv ycbcr ypbpr and other format
Platform: | Size: 7168 | Author: dont | Hits:

[matlabCompressionbyYikwang

Description: This code perform the DCT and quantization on all the channels without any sub-sampling and for the compression of color images, they are first converted to the YCbCr color format and vice versa, use the function rgb2ycbcr and ycbcr2rgb.
Platform: | Size: 432128 | Author: Tan | Hits:

[matlabsystemgen_mpeg

Description: 平台(Matlab2008a,Simulink,Sysgen 10.1.03)硬件实现RGB2YCbCr -Platform (Matlab2008a, Simulink, Sysgen 10.1.03) hardware realise of RGB2YCbCr
Platform: | Size: 97280 | Author: | Hits:

[VHDL-FPGA-VerilogRGB2YCbCr

Description: 视频格式空间转换 verilog语言实现-Space conversion video format verilog language
Platform: | Size: 2048 | Author: steven | Hits:

[Special Effectsrgb2ycbcr

Description: 实现rgb到ycbcr的格式转换,目前ycbcr为4:4:4-Rgb to ycbcr realize format conversion, 4:4:4 currently ycbcr
Platform: | Size: 1024 | Author: 孔令术 | Hits:

[Mathimatics-Numerical algorithmsRGB2YCbCr(0-255)

Description: This module converts the incoming Red, Green, and Blue 8-bit pixel data into Y, Cb, and Cr 8-bit values. The output values will be unsigned in the range of 0 to 255. data_in contains the Red pixel value in bits [7:0], Green in bits [15:8], and Blue in bits [23:16]. data_out contains the Y value in bits [7:0], Cb value in bits [15:8], and Cr balue in bits [23:16].-This module converts the incoming Red, Green, and Blue 8-bit pixel data into Y, Cb, and Cr 8-bit values. The output values will be unsigned in the range of 0 to 255. data_in contains the Red pixel value in bits [7:0], Green in bits [15:8], and Blue in bits [23:16]. data_out contains the Y value in bits [7:0], Cb value in bits [15:8], and Cr balue in bits [23:16].
Platform: | Size: 1024 | Author: liu | Hits:

[Special Effectsrgb2ycbcr--ycbcrrgb

Description: 由rgb转化到ycrcb空间,再又ycrcb空间转化到rgb空间中-make a color space transmission from rgb into ycbcr,then transmit color space from ycrcb into rgb
Platform: | Size: 3072 | Author: wuyuanyuan | Hits:

[Otherrgb2YCbCr

Description: 将彩色图像从RGB空间转换到YCbCr空间、HSI空间-It converts from RGB corlor space to YCbCr space.
Platform: | Size: 2048 | Author: 肖罗 | Hits:

[Video Capturergb2ycbcr

Description: 对于视频编解码感兴趣的初学者非常有帮助,深入学习JPEG编码中RGB转成YUV格式的细节!-For beginners interested in video codec is very helpful, in-depth study details of the JPEG encoder RGB to YUV format!
Platform: | Size: 2048 | Author: wl | Hits:

[DSP programRGB2YCbCr

Description: TI RGB2YUVH比较实用的 TI官网直接给的汇编的源码-Practical TI RGB2YUVH the TI official website directly to the assembly source
Platform: | Size: 9216 | Author: icy | Hits:

[2D Graphicrgb2ycbcr

Description: 颜色空间转换:RGB空间-->ycbcr空间。速度比ColorSpace调用快多了。 调用格式[y, cb, cr] = rgb2ycbcr(img) 输入img: rgb彩色图;y: 亮度,cb:蓝色,cr:红色 调用参考runTest.m-Color space conversion, RGB to ycbcr call format: [y, cb, cr] = rgb2ycbcr(img) input img: rgb image output r: intensity, cb: blue, cr: red Example see runTest.m
Platform: | Size: 377856 | Author: hujunyi | Hits:

[VHDL-FPGA-Verilogrgb2ycbcr

Description: rgb to YCbCr converter
Platform: | Size: 4832256 | Author: Kevaen | Hits:
« 12 »

CodeBus www.codebus.net