Welcome![Sign In][Sign Up]
Location:
Search - LCD display with verilog

Search list

[Otherverilog_lcd

Description: 用Verilog HDL 语言写的在LCD液晶上显示文字的源程序-with Verilog HDL write on the LCD display text of the source
Platform: | Size: 424058 | Author: yhr | Hits:

[Other resource9.2_LCD_PULSE

Description: 基于Verilog-HDL的硬件电路的实现 9.2 具有LCD显示单元的可编程单脉冲发生器   9.2.1 LCD显示单元的工作原理   9.2.2 显示逻辑设计的思路与流程   9.2.3 LCD显示单元的硬件实现   9.2.4 可编程单脉冲数据的BCD码化   9.2.5 task的使用方法   9.2.6 for循环语句的使用方法   9.2.7 二进制数转换BCD码的硬件实现   9.2.8 可编程单脉冲发生器与显示单元的接口   9.2.9 具有LCD显示单元的可编程单脉冲发生器的硬件实现   9.2.10 编译指令-\"文件包含\"处理的使用方法 -based on Verilog-HDL hardware Circuit of 9.2 LCD display module with the series Single-Pulse Generator 9.2.1 LCD display module Principle 9.2.2 shows the logic design Thinking and Process 9.2.3 LCD display module hardware 9.2.4 programmable single pulse data BCD of the task 9.2.5 9.2.6 for the use of the phrase cycle use 9.2.7 binary conversion of BCD programmable hardware 9.2.8 single pulse generator with a said unit 9.2.9 interface with the LCD display module programmable pulse generator hardware 9 .2.10 compiler directives - "document includes" the use of
Platform: | Size: 5267 | Author: 宁宁 | Hits:

[Otherverilog_lcd

Description: 用Verilog HDL 语言写的在LCD液晶上显示文字的源程序-with Verilog HDL write on the LCD display text of the source
Platform: | Size: 423936 | Author: yhr | Hits:

[VHDL-FPGA-Verilog9.2_LCD_PULSE

Description: 基于Verilog-HDL的硬件电路的实现 9.2 具有LCD显示单元的可编程单脉冲发生器   9.2.1 LCD显示单元的工作原理   9.2.2 显示逻辑设计的思路与流程   9.2.3 LCD显示单元的硬件实现   9.2.4 可编程单脉冲数据的BCD码化   9.2.5 task的使用方法   9.2.6 for循环语句的使用方法   9.2.7 二进制数转换BCD码的硬件实现   9.2.8 可编程单脉冲发生器与显示单元的接口   9.2.9 具有LCD显示单元的可编程单脉冲发生器的硬件实现   9.2.10 编译指令-"文件包含"处理的使用方法 -based on Verilog-HDL hardware Circuit of 9.2 LCD display module with the series Single-Pulse Generator 9.2.1 LCD display module Principle 9.2.2 shows the logic design Thinking and Process 9.2.3 LCD display module hardware 9.2.4 programmable single pulse data BCD of the task 9.2.5 9.2.6 for the use of the phrase cycle use 9.2.7 binary conversion of BCD programmable hardware 9.2.8 single pulse generator with a said unit 9.2.9 interface with the LCD display module programmable pulse generator hardware 9 .2.10 compiler directives- "document includes" the use of
Platform: | Size: 5120 | Author: 宁宁 | Hits:

[VHDL-FPGA-VerilogLCD1

Description: 用Verilog HDL编写的16*2液晶显示one world,one dream。压缩包中包括所有文件,使用的芯片为EP2C5T144,经过最后下载测试的。-Verilog HDL prepared with 16* 2 LCD display one world, one dream. Compression package, including all documents, use the chips for EP2C5T144, download final test.
Platform: | Size: 600064 | Author: 商姣 | Hits:

[VHDL-FPGA-Verilog1602LCD

Description: 1602lcd 显示程序,用Verilog语言编写,经测试程序运行没有问题!-1602lcd display program, with the Verilog language, tested program is running there is no problem!
Platform: | Size: 70656 | Author: 韩瑞 | Hits:

[VHDL-FPGA-Verilogverilog

Description: 主要包含了用verilog语言别写的实用于视频例如LCD等显示设备的音频与视频的控制系统,其中包括了延时代码的编写模块,希望对坐显示的有所帮助!-It contains the verilog language with written and practical at the videos of other LCD and other display devices such as audio and video control systems, including the delayed preparation of the code module, want to take display help!
Platform: | Size: 9216 | Author: 熊文 | Hits:

[VHDL-FPGA-VerilogLCD1602_Verilog

Description: 1602液晶显示字符串..用FPGA来控制1602液晶显示.-1602 LCD display with a FPGA to control the string .. 1602 LCD.
Platform: | Size: 897024 | Author: 罗小明 | Hits:

[VHDL-FPGA-Veriloglcd

Description: 用Verilog写的数码管动态显示代码,可以直接使用,在quartus ii软件9.0以上版本运行-Verilog digital control with dynamic display of written code, can be used directly in the quartus ii software, version 9.0 or above to run
Platform: | Size: 348160 | Author: 陈飞 | Hits:

[VHDL-FPGA-Veriloglcd_zifu

Description: 字符型液晶显示屏的完整程序,用Verilog编写,是FPGA设计中不可缺的一个环节-Character LCD display complete program written with Verilog, FPGA design is an essential part of
Platform: | Size: 111616 | Author: toutoublue | Hits:

[VHDL-FPGA-VerilogDE1-Practice-VGA-display-

Description: 用altera的fpga设计的DE1开发板作为硬件平台实现VGA显示,verilog实现的,8种色彩,作为fpga驱动vga液晶的入门。DE1实践之VGA显示(8bit色彩)-Altera fpga design with the DE1 board as a hardware platform development VGA display, verilog implementation, 8 colors, as the introduction to fpga driver vga LCD--- DE1 Practice VGA display (8bit color)
Platform: | Size: 13312 | Author: wuwei | Hits:

[VHDL-FPGA-Veriloglcd11

Description: verilog 编写的lcd显示程序,结合spartan 3 an开发板,解压即可使用。-verilog written lcd display program, combined with the spartan 3 an development board, decompression can be used.
Platform: | Size: 424960 | Author: 孟令钊 | Hits:

[VHDL-FPGA-VerilogLCD12864

Description: 用verilog编写的液晶显示程序,已调试通过。 1、 本工程主要是设计一个LCD的控制模块,然后在LCD上显示想要显示的数据。  2、 通过JTAG口把LCD12864.sof下载到FPGA上,则LCD就会显示出要显示的数据。-Written liquid crystal display with verilog program has been through debugging. 1, this project is to design a LCD control module, and then you want to display on the LCD display data. 2, the LCD12864.sof downloaded through the JTAG port to the FPGA, the LCD will show the data to be displayed.
Platform: | Size: 1089536 | Author: merlin | Hits:

[VHDL-FPGA-Veriloglcd_dsp

Description: verilog编写的串口和液晶驱动的程序。FPGA接收串口的数据,然后在液晶上显示,用的是黑金开发板。-verilog prepared by the serial and the LCD driver program. FPGA receives serial data, and then in the liquid crystal display, with the black gold development board.
Platform: | Size: 25279488 | Author: zhangshaobo | Hits:

[VHDL-FPGA-Verilogmy6

Description: fpga verilog程序,实现诸多模块功能,包括,数码管显示,与ad,da通信,与mcu通信,以便通过mcu将高速ad值显示在lcd显示器上。-fpga verilog program to achieve a number of modules, including, digital display, with the ad, da communication, communication with mcu, mcu high-speed through the ad to the value displayed on the lcd display.
Platform: | Size: 3620864 | Author: liu | Hits:

[VHDL-FPGA-VerilogOscilloscope

Description: 用verilog语言编写的数字示波器,在tft 2.4英寸液晶上显示波形、峰值等。-Verilog language with a digital oscilloscope, in tft 2.4 inch LCD display waveforms, peak, etc.
Platform: | Size: 1713152 | Author: 孟祥龙 | Hits:

[VHDL-FPGA-Verilogverilog-LCD126484

Description: LCD12864显示程序,用verilog HDL编写,能让LCD显示相关内容-LCD12864 display program written with verilog HDL, allowing the LCD display
Platform: | Size: 392192 | Author: 黄浩 | Hits:

[Windows DevelopfVerrilog_Devr

Description: 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BBCD码,加法器,减法器,简简单易懂状态机,四位比较器,7段数码管,i2c总线,lcd液晶LCD显示出来,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟 可直接使用。 -Friends, I Jawen. See previous upload a CPLD Development Board VHDL source code quite popular, she will Verilog source together with contribution to everyone: eight priority encoder, multipliers, multiplexers binary switch the BBCD code, adder, subtracter, simple straightforward state machine, four comparators, 7-segment LED, i2c bus, lcd LCD LCD display, DIP switch, serial port, buzzer, matrix keyboard, Marquee, traffic lights, digital clock can be used directly.
Platform: | Size: 3170304 | Author: qtzx | Hits:

[Otherlcd-with-spartan-3an-fpga

Description: This rar file contains the instruction and verilog codes for interfacing spartan3AN with LCD display.
Platform: | Size: 504832 | Author: AT | Hits:

[VHDL-FPGA-Veriloglcd

Description: 本代码利用verilog语言写的驱动LCD1602 其中LCD1602显示为英文。(LCD带字库)-This code is written in verilog use drive LCD1602 Which LCD1602 display in English. (LCD with font)
Platform: | Size: 1024 | Author: 刘天宇 | Hits:
« 12 »

CodeBus www.codebus.net