Welcome![Sign In][Sign Up]
Location:
Search - I2S

Search list

[Other resourceI2S

Description: 这是一个I2S接口的VHDL实现源代码,I2S是一个通用的音频接口。-This is a I2S interface VHDL source code, I2S is a generic audio interface.
Platform: | Size: 1583062 | Author: 孙浩 | Hits:

[Other resourceDSP-I2S-DMA

Description: TI DSP TMS320VC5509A的SDRAM、I2S、DMA等的接口程序。-TI DSP TMS320VC5509A of SDRAM, I2S, DMA interface procedures.
Platform: | Size: 20404 | Author: 刘虹 | Hits:

[Develop ToolsI2S

Description: I2S(Inter-IC Sound Bus)是飞利浦公司为数字音频设备之间的音频数据传输而制定的一种总线标准。在飞利浦公司的I2S标准中,既规定了硬件接口规范,也规定了数字音频数据的格式。
Platform: | Size: 54754 | Author: draking | Hits:

[Other resourceI2S

Description: LPC23XX在keil下c语言的例子4:I2S
Platform: | Size: 9148 | Author: sue | Hits:

[Linux-UnixI2S

Description: 本程序是基于PXA270开发板的I2S驱动程序
Platform: | Size: 4959 | Author: fujikui | Hits:

[Embeded-SCM DevelopAT91RM9200-BasicI2S-GHS3_6-2_0

Description: At91系列I2S代码--I2S code for At91 series.
Platform: | Size: 369664 | Author: 彭云清 | Hits:

[CommunicationG.711 encoder and decoder A law

Description: This program sets up the SPI port on the ADSP-BF533 toconfigure the AD1836 codec. The SPI port is disabledafter initialization. The data to/from the codec aretransfered over SPORT0 in I2S mode-This program sets up the SPI port on the ADSP- BF533 toconfigure the AD1836 codec. The SPI po rt is disabledafter initialization. The data t o/from the codec aretransfered over SPORT0 in I 2S mode
Platform: | Size: 10240 | Author: 范文 | Hits:

[ARM-PowerPC-ColdFire-MIPSAT91RM9200-BasicI2S

Description: at91rm9200处理器i2s接口源代码,基于c语言开发!-at91rm9200 processor I2S interface source code, based on the c-language development!
Platform: | Size: 35840 | Author: 姚雪松 | Hits:

[ARM-PowerPC-ColdFire-MIPSAT91RM9200-TestI2S

Description: This zip describes how two SSCs in I2S mode play and record wave files through a two-input data method. Includes main.html file for help.-This describes how two SSCs in I2S mode p lay and record wave files through a two-input da ta method. Includes main.html file for help.
Platform: | Size: 38912 | Author: 张爽 | Hits:

[SCMi2sspec

Description: I2S Interface Specification The I2S-bus (Inter-IC Sound bus) is a serial link for transmitting stereo audio between devices in a system.-I2S Interface Specification The I2S-bus ( Inter-IC Sound bus) is a serial link for transmi fragments stereo audio between devices in a system.
Platform: | Size: 101376 | Author: ydx | Hits:

[VHDL-FPGA-Verilogi2s_master_slave_vhdl

Description: i2s串行线广泛用于音频通信中,这里包括了master和slave的代码.-i2s serial lines widely used in audio communication, here including the master and slave codes.
Platform: | Size: 22528 | Author: hxwf801 | Hits:

[Linux-Unixs2410-i2s

Description: s2410-arm920t linux下的iis驱动-s2410- arm920t linux driving under the iis
Platform: | Size: 6144 | Author: zym0805 | Hits:

[ARM-PowerPC-ColdFire-MIPSlinux-at91rm9200-i2s

Description: 基于at91rm9200-arm920t下的录放音程序,codec采用uda1314-based at91rm9200- arm920t sound recording of the proceedings, codec used uda1314
Platform: | Size: 19456 | Author: zym0805 | Hits:

[Windows CEYL2410_SMDK2410_ce5.0

Description: 这是YL2410开发板的最新的wince 5.0 的BSP源文件。YL2410开发板CPU为三星S3C2410 A,支持USB HOST/FUNCTION, SD 卡,CF卡,IDE硬盘,串口,VGA,I2S。强烈推荐!-YL2410 This is the latest development of the plate wince 5.0 BSP source document. YL2410 CPU board development for Samsung S3C2410 A, support USB HOST/FUNCTION, SD card, CF card, IDE hard disk, serial, VGA, I2S. Strongly recommended!
Platform: | Size: 1378304 | Author: 小铭 | Hits:

[Parallel PortFtI2Stest_out_normal

Description: s3c44box + i2s 测试程序,使用DMA方式-s3c44box i2s testing procedures, the use of DMA
Platform: | Size: 2048 | Author: zzz | Hits:

[VHDL-FPGA-Verilogpcm1804_i2s_data_adjust2

Description: 用于pcm1804调整I2S的数据,使I2S的音频同步并且在FIFO中不溢出。能够自动判断FIFO --中的状态,通过调整从FIFO中输出的数据的个数来使FIFO既不上溢也不下溢。 -- 为了达到更高的精度要求,可以通过加大采样时钟clk的频率。-I2S for pcm1804 adjusted data, so that I2S audio synchronization and FIFO does not overflow. Can automatically determine the FIFO- the state, by adjusting the output from the FIFO in the number of data in order to make the FIFO does not overflow or underflow.- In order to achieve higher precision, you can increase the sampling clock frequency of clk.
Platform: | Size: 2048 | Author: WQL | Hits:

[Internet-NetworkPI2SEmluator(Ver1.01)

Description: i2s协议模拟测试主要源代码 总结 1.熟悉采用并口驱动程序SDK来访问并口(本次采用的并口驱动为:port95,WinIo,NTPORT) 2.熟悉I2S接口协议(根据不同的通讯设备有一点差别) 3.反复实验了解到Windows下采用高精确实时控制不能简单采用线程处理,而用多媒体定时器函数timeSetEvent来实现,否则线程在运行过程中会随机被系统其他进程打断而不能完成精确定时功能。-err
Platform: | Size: 4096 | Author: guanjunduan | Hits:

[DocumentsI2S

Description: 3线IIS接口spec,对硬件和驱动有兴趣的朋友可以下载-3-wire IIS interface spec, the hardware and drivers are interested in a friend can be downloaded
Platform: | Size: 54272 | Author: lee | Hits:

[OtherI2S总线规则

Description: I2S开发开发需要知道的知识,I2S主要用来传输音频数据(I2S development and development needs to know the knowledge, I2S is mainly used to transmit audio data)
Platform: | Size: 450560 | Author: dinghh | Hits:

[VHDL-FPGA-Verilogi2s

Description: 用Verilog实现的i2s功能,支持24bit的左右声道 接收和发送。左对齐,延迟1拍。(I2S module, Verilog I2S, up to 24-Bit Data Data Valid on Rising Edge of SCLK)
Platform: | Size: 8192 | Author: zlh840 | Hits:
« 1 23 4 5 6 7 8 9 10 ... 18 »

CodeBus www.codebus.net