Welcome![Sign In][Sign Up]
Location:
Search - I2C_Verilog

Search list

[Embeded-SCM DevelopI2C_verilog

Description: I2C总线verilog实现源码,可以完整实现I2C bus的基本功能-I2C Bus verilog achieving source, I2C bus integrity of the basic functions
Platform: | Size: 20698 | Author: seiji | Hits:

[Com PortI2C_verilog

Description: I2C总线是Philips公司推出的双向两线串行通讯标准,具有接口线少、通讯效率高等特点。将I2C总线设计成FPGA内部的模块,可以方便FPGA与其他具有I2C总线的设备通信。
Platform: | Size: 8928 | Author: 沈天平 | Hits:

[Other resourceI2C_Verilog

Description: I2C 控制器的 Verilog源程序 example
Platform: | Size: 206735 | Author: 展望 | Hits:

[Embeded-SCM Developi2c_verilog

Description: i2c的verilog代码,转载的他人的资料
Platform: | Size: 205346 | Author: lee | Hits:

[Other resourceI2C_verilog

Description: 用verilog设计了一个简洁而实用的I2C总线控制器,对大家学习FPGA和I2C总线接口等相关方面的知识有较大的帮助。
Platform: | Size: 522767 | Author: 郑玮 | Hits:

[Embeded-SCM DevelopI2C_verilog

Description: I2C总线verilog实现源码,可以完整实现I2C bus的基本功能-I2C Bus verilog achieving source, I2C bus integrity of the basic functions
Platform: | Size: 20480 | Author: | Hits:

[Com PortI2C_verilog

Description: I2C总线是Philips公司推出的双向两线串行通讯标准,具有接口线少、通讯效率高等特点。将I2C总线设计成FPGA内部的模块,可以方便FPGA与其他具有I2C总线的设备通信。-I2C bus is Philips has introduced two-way two-wire serial communication standard, with fewer line interface, communications and high efficiency. I2C bus will be designed within the FPGA module, can easily FPGA and other devices with I2C bus communication.
Platform: | Size: 8192 | Author: 沈天平 | Hits:

[VHDL-FPGA-VerilogI2C_Verilog

Description: I2C 控制器的 Verilog源程序 example-I2C controller Verilog source code example
Platform: | Size: 206848 | Author: 展望 | Hits:

[Embeded-SCM Developi2c_verilog

Description: i2c的verilog代码,转载的他人的资料-i2c the Verilog code, reproduced in other people
Platform: | Size: 204800 | Author: lee | Hits:

[VHDL-FPGA-VerilogI2C_verilog

Description: 用verilog设计了一个简洁而实用的I2C总线控制器,对大家学习FPGA和I2C总线接口等相关方面的知识有较大的帮助。-Verilog design using a simple and practical I2C bus controller, for everyone to learn FPGA and I2C bus interface and other related knowledge has a greater help.
Platform: | Size: 522240 | Author: 郑玮 | Hits:

[VHDL-FPGA-Verilogi2c_Verilog

Description: Verilog开发的I2c接口模块,如何需要更详细的资料,请参考www.opencores.org网站-Verilog development I2C interface module, how the need for more detailed information, please refer to website www.opencores.org
Platform: | Size: 11264 | Author: sun | Hits:

[OtherI2C_Verilog

Description: I2c控制器的verilog代码及说明文件-verilog code and notes of i2c controller
Platform: | Size: 204800 | Author: 孙磊 | Hits:

[File Formati2c_verilog

Description: verilog i2c 控制源代码,包括读写控制-verilog i2c source code control
Platform: | Size: 3072 | Author: 付工 | Hits:

[Otheri2c_verilog.tar

Description: i2c的逻辑设计实现,有详细的文档说明,供大家学习使用-i2c Design and Implementation of the logic, the document explains in detail for them to learn from the use of
Platform: | Size: 695296 | Author: 卞军 | Hits:

[OtherI2C_Verilog

Description: I2C(Intel-Integrated Circuit bus)为内部IC控制的双向串行总线,用于连接微控制器及其外围设备的互连。该程序用Verilog HDL语言来实现FPGA模拟I2C协议作为主端对I2C从设备进行读/写操作。-I2C (Intel-Integrated Circuit bus) control IC for internal bi-directional serial bus for connecting micro-controller and its peripheral equipment interconnection. The program with the Verilog HDL language to achieve the FPGA simulation of I2C protocol as the main terminal from the device to the I2C read/write operations.
Platform: | Size: 17408 | Author: wang | Hits:

[VHDL-FPGA-Verilogi2c_verilog

Description: FPGA读写i2c的内部数据基于verilog语言的描述,按照内部时序访问-I2c data read and write the internal FPGA verilog language based on the description, in accordance with the internal timing to visit
Platform: | Size: 15360 | Author: huajun | Hits:

[VHDL-FPGA-Verilogi2c_verilog

Description: 该源程序包是I2C的Verilog语言模型,包括以下3个部分:document,source,testfixture。-The source package is the I2C Verilog language models, including the following three parts: document, source, testfixture.
Platform: | Size: 204800 | Author: long | Hits:

[Otheri2c_verilog

Description: vrilog实现的i2c程序,可以借鉴和学习-vrilog achieve i2c program, can learn from
Platform: | Size: 709632 | Author: 钱雪荣 | Hits:

[VHDL-FPGA-Verilogi2c_verilog

Description: I2C Master IP 核 I2C is a two-wire, bi-directional serial bus that provides a simple and efficient method of data exchange between devices. It is most suitable for applications requiring occasional communication over a short distance between many devices. The I2C standard is a true multi-master bus including collision detection and arbitration that prevents data corruption if two or more masters attempt to control the bus simultaneously. -I2C is a two-wire, bi-directional serial bus that provides a simple and efficient method of data exchange between devices. It is most suitable for applications requiring occasional communication over a short distance between many devices. The I2C standard is a true multi-master bus including collision detection and arbitration that prevents data corruption if two or more masters attempt to control the bus simultaneously. The interface defines 3 transmission speeds: - Normal: 100Kbps - Fast: 400Kbps - High speed: 3.5Mbps Only 100Kbps and 400Kbps modes are supported directly. For High speed special IOs are needed. If these IOs are available and used, then High speed is also supported.
Platform: | Size: 11264 | Author: qingmingyang | Hits:

[Com Porti2c_verilog

Description: I2C master verilog. write and read are tested well.
Platform: | Size: 3072 | Author: alice | Hits:
« 12 »

CodeBus www.codebus.net