Welcome![Sign In][Sign Up]
Location:
Search - HDL i2c

Search list

[Other resource用Verilog HDL实现I2C总线功能

Description: 用Verilog HDL实现I2C总线功能,对I2C总线有很大帮助-with Verilog HDL I2C bus function of I2C bus is very helpful
Platform: | Size: 121607 | Author: 胡路听 | Hits:

[VHDL-FPGA-Verilog用Verilog HDL实现I2C总线功能

Description: 用Verilog HDL实现I2C总线功能,对I2C总线有很大帮助-with Verilog HDL I2C bus function of I2C bus is very helpful
Platform: | Size: 120832 | Author: 胡路听 | Hits:

[VHDL-FPGA-VerilogI2C总线控制器 Xilinx提供

Description: 用Verilog HDL实现I2C总线功能,对I2C总线有很大帮助-I2C bus contrll functions implemented by Verilog HDL.
Platform: | Size: 889856 | Author: 司法 | Hits:

[Compress-Decompress algrithmsi2c(FPGA)

Description: 基于FPGA的I2C总线模拟,采用verilog HDL语言编写。-FPGA-based I2C bus simulation, using verilog HDL language.
Platform: | Size: 212992 | Author: 李浩 | Hits:

[OtherI2CbusVHDLVerilogHDL

Description: i2c总线verilog源代码 ,包括测试模块-i2c Bus verilog source code, including testing module
Platform: | Size: 509952 | Author: 张云凤 | Hits:

[VHDL-FPGA-Verilog1_061026140305

Description: 基于FPGA的I2C总线模拟,采用verilog HDL语言编写。- Based on the FPGA I2C main line simulation, uses verilog the HDL language compilation.-FPGA-based I2C bus simulation, using verilog HDL language.- Based on the FPGA I2C main line simulation, verilog uses the HDL language compilation.
Platform: | Size: 204800 | Author: | Hits:

[Embeded-SCM Developan494_design_example

Description: I2C to GPIO Port expander的Verilog HDL 程序原码,直接可在Quartus环境下运行。-I2C to GPIO Port expander procedures of the Verilog HDL source code directly in the Quartus environment.
Platform: | Size: 279552 | Author: wangyunshann | Hits:

[VHDL-FPGA-VerilogI2C(VHDLVerilogHDL)

Description: 有两个,一个用VHDL编写的I2C,一个Verilog hdl语言编写的-Have two, one with VHDL prepared I2C, a Verilog hdl languages
Platform: | Size: 514048 | Author: sunstar | Hits:

[VHDL-FPGA-VerilogI2C_Controller

Description: I2C控制器的源代码,Verilog HDL语言编写,可以直接调用-I2C controller source code, Verilog HDL language, you can directly call
Platform: | Size: 2048 | Author: zw | Hits:

[VHDL-FPGA-VerilogI2C

Description: 用verilog HDL实现I2C Master Controller 的设计,包括主程序设计和测试程序设计-Verilog HDL using I2C Master Controller to achieve the design, including the main program design and test program design
Platform: | Size: 211968 | Author: zbs | Hits:

[VHDL-FPGA-VerilogVerilogHDL_code

Description: 几个常用的接口实验的程序代码,用Verilog HDL语言编写的,包括七段数码管、拨码开关、蜂鸣器、矩阵键盘、串口、I2C、跑马灯等。-Some commonly used experimental procedures for the interface code, using Verilog HDL language, including Seven-Segment LED, DIP switch, buzzer, matrix keyboard, serial, I2C, marquees, etc..
Platform: | Size: 1603584 | Author: shsh | Hits:

[Other Embeded programI2C19861208888

Description: i2c总线模拟,verilog hdl编写的总线模拟控制程序-i2c bus simulation, verilog hdl prepared bus analog control procedures
Platform: | Size: 11264 | Author: 韩永高 | Hits:

[Otheri2c.tar

Description: I2C verilog HDL code including test environment
Platform: | Size: 702464 | Author: richman | Hits:

[VHDL-FPGA-VerilogI2CVerilog

Description: I2C 控制器的 Verilog源程序, 适用于FPGA等应用领域-I2C controller Verilog source code,I2C controller Verilog source code
Platform: | Size: 10240 | Author: babylu | Hits:

[Embeded-SCM Developi2_cmaster

Description: verilog HDL i2c主机代码-verilog HDL i2c host code
Platform: | Size: 1024 | Author: 李爱国 | Hits:

[VHDL-FPGA-VerilogI2C

Description: I2C主机端模块 具有avalon-MT总线接口 可挂载在Altera soc系统之上 使NiosII处理器具备I2C通信能力 模块由Verilog HDL编写 并经Cyclone II FPGA测试-I2C master modul which has a avalon-MT interface that can be attached to Altera SOC system. It provides NiosII I2C communication capability . This module is written with Verilog HDL and has been tested on a Cyclone II FPGA
Platform: | Size: 6144 | Author: magic_andy | Hits:

[File Formati2c_verilog

Description: verilog i2c 控制源代码,包括读写控制-verilog i2c source code control
Platform: | Size: 3072 | Author: 付工 | Hits:

[VHDL-FPGA-Verilogi2c

Description: verilog hdl file i2c interfacing-verilog hdl file i2c interfacing
Platform: | Size: 2048 | Author: ved prakash | Hits:

[Software Engineeringi2c.tar

Description: i2c core for verilog hdl
Platform: | Size: 647168 | Author: mona | Hits:

[VHDL-FPGA-VerilogI2C

Description: 文档是用Verilog hdl写的I2C源代码,是很不错的一个技术文档。-The document is written in Verilog hdl I2C source code, is a very good technical documentation.
Platform: | Size: 1506304 | Author: 欧阳柏林 | Hits:
« 12 3 4 5 »

CodeBus www.codebus.net