Welcome![Sign In][Sign Up]
Location:
Search - FPGA SPWM

Search list

[source in ebookFPGA

Description: 驱动液晶 驱动液晶 -LCD Driver LCD Driver LCD Driver
Platform: | Size: 51200 | Author: | Hits:

[VHDL-FPGA-VerilogFPGAdezizhixingSPWMboChengXu

Description: 基于FPGA的自治型SPWM波形发生器的设计!正弦脉宽调制(SPWM)技术在以电压源逆变电路为核心的电力电子装置中有着广泛的应用,如何产生SPWM脉冲序列及其实现手段是PWM技术的关键。大家共同探讨哈!-FPGA based SPWM autonomy-based waveform generator design! Sinusoidal pulse width modulation (SPWM) technology in the voltage source inverter circuit as the core of the power electronic devices have a wide range of applications, how to generate SPWM pulse sequence and its implementation means PWM technology is the key. Kazakhstan investigate everyone!
Platform: | Size: 4096 | Author: 小喻 | Hits:

[VHDL-FPGA-VerilogSPWM

Description: VHDL采用自然采样法写的SPWM,里面有正弦表,可以通过外接输入正弦波和三角波的频率。 -VHDL using written natural sampling SPWM, there are sine table, you can enter through the external sinusoidal and triangular wave frequency.
Platform: | Size: 7168 | Author: zyb | Hits:

[Software EngineeringFPGA-basedmulti-channelSPWMControllerResearchandDe

Description: 基于FPGA的多路SPWM控制器的研究与设计,收费硕士论文,文章详细研究了SPWM控制器的VHDL实现.-FPGA-based multi-channel SPWM Controller Research and Design, charges master paper, the article detailed study of the SPWM controller VHDL implementation.
Platform: | Size: 1847296 | Author: Jiang Guangxiu | Hits:

[VHDL-FPGA-VerilogSPWM

Description: 用C语言 并利用FPGA来进行SPWM 包含详尽的源代码-Using C language and use of FPGA to be SPWM source code contains detailed
Platform: | Size: 819200 | Author: 叶问 | Hits:

[VHDL-FPGA-VerilogFPGA

Description: spwm dcac逆变 fpga与单片机一起作用-sdad
Platform: | Size: 2644992 | Author: YZ | Hits:

[VHDL-FPGA-Verilogspwm

Description: 关于SPWM调制设计VHDL代码 关于SPWM调制设计VHDL代码-SPWM modulation on the design of VHDL code design on the VHDL code modulation SPWM
Platform: | Size: 1024 | Author: | Hits:

[SCMSPWM_STC89C52

Description: 电子设计竞赛二等奖,SPWM信号发生器微控制器STC89C52的源代码,包括仪器前面板的管理(4*4键盘扫描和12864液晶显示),以及模拟SPI接口向FPGA发送控制字。-Electronic Design Competition Award, SPWM signal generator, microcontroller STC89C52 source code, including the management of the instrument front panel (4* 4 keyboard scanning and 12,864 liquid crystal display), and analog interfaces to the FPGA sends SPI control word.
Platform: | Size: 92160 | Author: zlz | Hits:

[VHDL-FPGA-Verilogspwmlast

Description: 基于fpga的spwm波形产生代码-On fpga SPWM waveform code
Platform: | Size: 568320 | Author: 王子 | Hits:

[Other5-axis-Stepping-M-otor-Based-on-FPGA

Description: 利用现场可编程门列阵设计一款五轴步进电机运动控制卡,采用了NioslI软核CPU+FPGA的硬件设计方 案:利用NioslI软核CPU运行控制程序,利用FPGA来实现数字差补算法和细分驱动;采用了数字积分算法和正弦 波脉宽调制细分驱动技术。测试结果表明:该运动控制卡具有电路紧凑、性价比高而速度快、精度高和实时性强优 点。-A kind of high—speed motion control card for controlling 5一axis stepping motor was implemented by FPGA,and a kind of design scheme based on NiosII+FPGA was proposed. The NioslI soft core CPU was used to run controlling program, and the algorithm of digital differential and subdivided drive were implemented by FPGA. The algorithm of digital differential ana— lyzer(DDA)and technology of SPWM were adopted in the card.Testing result shows that the card has the advantages of circuit compact,high ratio of price/performance, high controlling precision and strong real—time performance
Platform: | Size: 841728 | Author: ylnie | Hits:

[VHDL-FPGA-VerilogSPWM-output

Description: 利用FPGA,采用DDS技术产生具有死区控制的SPWM波-To utilize FPGA, generation of DDS technology with deadband control SPWM wave
Platform: | Size: 4672512 | Author: yizhengxin | Hits:

[SCMSPWM

Description: FPGA上用verilog写的SPWM控制程序,完美运行!自由调试,毕设内容,十分宝贵-The SPWM control program by verilog FPGA perfect run! Free commissioning, Bi-based content, invaluable
Platform: | Size: 1555456 | Author: 罗克韦尔 | Hits:

[VHDL-FPGA-Verilognspwm

Description: 用fpga生产SPWM波形稳定,输出频率占空比可调。
Platform: | Size: 2555332 | Author: jackoxiong | Hits:

[Software Engineeringspwm

Description: 这是基本fpga的spwm,竞赛时准备的,虽然没用上,给后人参考吧-This is the basic fpga' s spwm, when race ready, although useless, refer it to future generations
Platform: | Size: 4862976 | Author: 陈华 | Hits:

[VHDL-FPGA-VerilogSPWM

Description: ALTERA FPGA上采用Verilog语言实现查表法产生三电平SPWM-Produce three-level SPWM by look-up table
Platform: | Size: 4420608 | Author: Jim | Hits:

[Software EngineeringCarried-Phase-shift-SPWM

Description: 基于FPGA的多电平载波移相SPWM方法实现-theCarried-Phase-shift SPWM method of Multi-Level converter based on FPGA
Platform: | Size: 297984 | Author: billvicsun | Hits:

[VHDL-FPGA-VerilogSPWM

Description: 基于FPGA的正弦脉宽调制波vhdl代码,同时输出正弦波与SPWM-Sine pulse width modulation wave VHDL code based on FPGA, at the same time with SPWM output sine wave
Platform: | Size: 1134592 | Author: 黄文举 | Hits:

[VHDL-FPGA-VerilogSPWM-pulse-control-program

Description: fpga程序,用于逆变器的SPWM控制,有保护程序,包括脉冲闭锁保护,有注释,非常好用-fpga program for PWM inverter control, there are savers, including pulse lockout protection, notes, very easy to use
Platform: | Size: 2048 | Author: 韩季晨 | Hits:

[VHDL-FPGA-VerilogSPWM

Description: 利用FPGA内核产生SPWM波,并且频率可调(The FPGA kernel is used to generate SPWM waves, and the frequency is adjustable)
Platform: | Size: 13446144 | Author: 小和尚的菜 | Hits:

[OtherSPWM信号产生系统IP软核设计及验证

Description: 针对电力电子领域的需求,采用自然采样法设计了一个全数字三相SPWM信号产生系统IP软核.通过数字频率合成技术实现了对电源频率的辅确控制.使电源频率精度达到16位.其中。通过调节控制参数.分别实现了电源频率与载波频率的7级、8级控制.最后。搭建了基于FPGA的测试系统.验证了系统功能的正确性.(According to the requirement of power electronics, the natural sampling method for the design of a full digital three-phase SPWM signal generation system. The power frequency of IP core is the auxiliary control is implemented through digital frequency synthesis technology. The power frequency accuracy of 16. By adjusting the control parameters, 7 and 8 levels of power frequency and carrier frequency are realized respectively. Finally, the control of the power frequency and carrier frequency is realized. A test system based on FPGA is built, which verifies the correctness of the system function)
Platform: | Size: 250880 | Author: 破劫 | Hits:
« 12 »

CodeBus www.codebus.net