Welcome![Sign In][Sign Up]
Location:
Search - DDS4

Search list

[CommunicationDDS4

Description: 继续发关于DDS的文档,可以在多篇比较之下看出真正的核心是什么,我觉得这样更好-to the DDS on the documents, in more than to see the real comparison is the core of what I think this better
Platform: | Size: 152135 | Author: 薛旭东 | Hits:

[CommunicationDDS4

Description: 继续发关于DDS的文档,可以在多篇比较之下看出真正的核心是什么,我觉得这样更好-to the DDS on the documents, in more than to see the real comparison is the core of what I think this better
Platform: | Size: 151552 | Author: 薛旭东 | Hits:

[SCMDDS485

Description: 本人花了几个月做的单相电能表程序,带485和红外通讯,强烈要求识货的多加分 已经经过完整功能测试,在我公司正常运行!-err
Platform: | Size: 69632 | Author: 严雪涛 | Hits:

[VHDL-FPGA-VerilogDDS4.mdl

Description: DDS(快速正交调制)生成正弦波形,利用相位累加字进行累加,查找查找表内容输出正弦数据,在通信领域应用很多,我采用的是matlab的simulink进行前期仿真-DDS (fast quadrature modulation) to generate sine wave, the use of the word to accumulate phase accumulation, content output sine lookup table lookup data in many applications in the communications field, I used to pre simulink of matlab simulation
Platform: | Size: 12288 | Author: lu | Hits:

CodeBus www.codebus.net