Welcome![Sign In][Sign Up]
Location:
Search - DCT-vhdl

Search list

[Graph programDCT-vhdl

Description: 这是一个二维 8*8块的离散余弦变换(DCT)以及反变换(IDCT)算法,采用VHDL实现
Platform: | Size: 10711 | Author: liujl | Hits:

[Graph programDCT得快速算法

Description: 这是一个集4位8位16位32位得快速DCT算法。-Set 4 8 16 32 sightings of fast DCT algorithm.
Platform: | Size: 8201216 | Author: 安风铃 | Hits:

[Streaming Mpeg4dct2

Description: 该程序用于实现h.264中的DCT变换、量化、反DCT变换、反量化 采用了h.264白皮书中介绍的算法-the procedures used to achieve h.264 of DCT, quantization, anti- DCT, quantization using the anti-h.264 White Paper introduced by the algorithm
Platform: | Size: 10240 | Author: 王全明 | Hits:

[Algorithmdct_p

Description: 这是用VHDL语言(硬件描述语言)写的一个二维 8*8块的离散余弦变换(DCT)以及反变换(IDCT).全同步设计,低门数.可以用于多媒体及打印应用领域.-VHDL (hardware description language) wrote a two-dimensional 8* 8 discrete cosine transform (D CT) and the anti-transform (IDCT). fully synchronous design, low gate count. can be used for multimedia and print applications.
Platform: | Size: 32768 | Author: citybus | Hits:

[GDI-BitmapDCTdemo

Description: DCT离散余弦变换演示。源代码+测试图片 -DCT discrete cosine transform demonstration. Photo source code testing
Platform: | Size: 199680 | Author: 黄辽 | Hits:

[VHDL-FPGA-Verilogmdct.tar

Description: 这是06年4月刚刚完成的程序,从opencore.org下载而来。用vhdl语言描写,以及matlab仿真,testbench,以及在xinlinx上的综合。 The MDCT core is two dimensional discrete cosine transform implementation designed for use in compression systems like JPEG. Architecture is based on parallel distributed arithmetic with butterfly computation. -This is April 06 had just completed the process, from opencore.org downloaded from. Vhdl description language used, and Matlab simulation, testbench, and the Comprehensive xinlinx. The MDCT core is two dimensional discrete cosin e transform implementation designed for use in JPEG compression systems like. Architecture i 's based on parallel distributed arithmetic wit h butterfly computation.
Platform: | Size: 1767424 | Author: 陈朋 | Hits:

[DSP programDCT-DSP

Description: DCT算法在DSP上的实现,汇编语言,在DSP开发板上调试通过-DCT algorithm on DSP realization of assembly language, in DSP development board through debugging
Platform: | Size: 167936 | Author: suobin | Hits:

[Graph programDCT-vhdl

Description: 这是一个二维 8*8块的离散余弦变换(DCT)以及反变换(IDCT)算法,采用VHDL实现-This is a two-dimensional 8* 8 discrete cosine transform (DCT) and inverse transform (IDCT) algorithms using VHDL realize
Platform: | Size: 10240 | Author: liujl | Hits:

[VHDL-FPGA-Verilogdct

Description: 里面含有vhdl和verilog 版本,很好用!dct变换用得很多啊!-Which contains a VHDL and Verilog versions of very good use! Dct transform with a lot ah!
Platform: | Size: 124928 | Author: 萧勇 | Hits:

[DocumentsDCT

Description: 一种改进的一维DCT方案设计与实现,采用VHDL硬件语言描述,DCT以及IDCT-An improved one-dimensional program design and realization of DCT using VHDL hardware description language, DCT and IDCT
Platform: | Size: 313344 | Author: 小金 | Hits:

[Graph programdct

Description: this si Arithmetic core,it contains FreeDCT-L and FreeDCT-M.FreeDCT-L is a low power architecture 1-Dimensional 8-point DCT/IDCT core.FreeDCT-M is a moderate speed 1-Dimensional IDCT core
Platform: | Size: 866304 | Author: lilei | Hits:

[VHDL-FPGA-Verilogdct

Description: 2维DCt源码,可以实现8乘8点数据的2维DCT变换 -2-D DCT-source, you can realize 8 x 8 data 2-D DCT transform
Platform: | Size: 5120 | Author: jz | Hits:

[VHDL-FPGA-VerilogDCT+

Description: 改进的DCT算法设计,veriloghdl实现-Improved DCT algorithm design, veriloghdl realize
Platform: | Size: 313344 | Author: lyc84122 | Hits:

[VHDL-FPGA-VerilogDCT

Description: altera fpga verilog 设计的基于查找表的DCT程序及zigzag扫描程序,已经过matlab 和modelsim 验证,文件中包含TESTBENCH ,直接可用-altera fpga verilog design table DCT-based search procedures and zigzag scanning procedures, and ModelSim matlab has been verified, the document contains TESTBENCH, directly available
Platform: | Size: 15400960 | Author: alison | Hits:

[VHDL-FPGA-VerilogDCT

Description: 用于视频图像编码的8×8DCT变换,可用于MPEG4.H263等VHDL编程-For video images encoded 8 × 8DCT transform, can be used to MPEG4.H263 such as VHDL Programming
Platform: | Size: 108544 | Author: zs | Hits:

[VHDL-FPGA-Verilogdct-code

Description: 离散余弦变换的VHDL实现,不错的代码和方法-Discrete cosine transform VHDL realization of good code and methods
Platform: | Size: 30720 | Author: 宋雪兵 | Hits:

[Graph programdct

Description: 主要是进行DCT变换的源码,供大家参考希望能得到大家的认可。-Mainly DCT transform source for your information we hope to be recognized.
Platform: | Size: 60416 | Author: mary | Hits:

[Technology Managementdct

Description: Project 2D DCT core - specifications and codes-Project 2D DCT core- specifications and codes
Platform: | Size: 78848 | Author: student | Hits:

[Software Engineeringdct-thesis

Description: Project 2D DCT core - specifications and codes-Project 2D DCT core- specifications and codes
Platform: | Size: 494592 | Author: student | Hits:

[VHDL-FPGA-Verilogdct

Description: 用vhdl语言来实现了dct离散余弦变换-With VHDL language to achieve the optimal discrete cosine transform
Platform: | Size: 7168 | Author: yaxin324 | Hits:
« 12 3 4 5 6 »

CodeBus www.codebus.net