Welcome![Sign In][Sign Up]
Location:
Search - BT656

Search list

[Audio programIIS2BT656

Description: 本程序功能为将音频的IIS数据插入bt656数据中一起传输。在程序中,sdata并不从外界输入,而是由内部的一个16位的counter并串转换产生,以此来检测程序在串并转换sdata时是否有遗漏。 本程序并未经过实测,但ModelSim的仿真结果正确。-this program will function as audio data into IIS bt656 together data transmission. In the process, not from outside sdata input, but by an 16 to the counter and have a string of conversion, procedures in order to detect the change sdata series and whether there are any omissions. The procedure has not been measured, but the ModelSim simulation results correctly.
Platform: | Size: 306232 | Author: 龙子 | Hits:

[Audio programIIS2BT656

Description: 本程序功能为将音频的IIS数据插入bt656数据中一起传输。在程序中,sdata并不从外界输入,而是由内部的一个16位的counter并串转换产生,以此来检测程序在串并转换sdata时是否有遗漏。 本程序并未经过实测,但ModelSim的仿真结果正确。-this program will function as audio data into IIS bt656 together data transmission. In the process, not from outside sdata input, but by an 16 to the counter and have a string of conversion, procedures in order to detect the change sdata series and whether there are any omissions. The procedure has not been measured, but the ModelSim simulation results correctly.
Platform: | Size: 306176 | Author: 龙子 | Hits:

[VHDL-FPGA-Verilogntsc_gen

Description: NTSC信号发生器VHDL源码。输出为BT656格式-NTSC signal generator VHDL source code. BT656 format output
Platform: | Size: 1024 | Author: 吕奔 | Hits:

[Graph programbt_rx

Description: 在FPGA上验证过的BT656转换成RGB的Verilog源代码
Platform: | Size: 3072 | Author: liu zhanliang | Hits:

[OtherITU_bt_601_656

Description: ITU BT601 656信号标准。常见的模拟视频信号采集芯片都输出BT656的数字信号,看了此文档,就明白了BT656流-ITU BT601 656 signal standard. Common analog video signal acquisition BT656 chips are digital signal output, read this document, we understand the flow BT656
Platform: | Size: 82944 | Author: sunbeam yuan | Hits:

[ActiveX/DCOM/ATLCCIR656

Description: CCIR656(BT656)标准 ITU-R Recommendation 656 - Standard Text -ITU-R Recommendation 656- Standard Text
Platform: | Size: 12288 | Author: 李志双 | Hits:

[OtherITU656

Description: 详细介绍了视频BT656规范,包括656的数据结构,信号采样结构,定义!希望能帮到大家!-BT656 video details, including the data structure 656, the signal sampling structure, the definition of! I hope to help you!
Platform: | Size: 112640 | Author: richard WAN | Hits:

[VHDL-FPGA-Verilogcolor_conv

Description: BT656,YCBCR数据格式转换成VGA(888)数据算法,-BT656, YCBCR data format converted into VGA (888) data algorithm,
Platform: | Size: 1024 | Author: 朱红梅 | Hits:

[Video Capturepro_4d1

Description: 此代码可实现8bits 108M 4路BT656 像素交织输入转为8bits 108M 4路行交织的视频数据,并有仿真文件,在modelsim中运行即可。-This code can be realized 8bits 108M 4 way BT656 pixel interleaving input into 8bits 108M 4 way line of cutting the video data, and there are simulation files can be run in the modelsim.
Platform: | Size: 10240 | Author: davi_insist | Hits:

[Othervideo_formatter

Description: 数字video BT601格式转换成BT656/SMPTE格式-Digital video BT.601 format converts to BT656 format or SMPTE format.
Platform: | Size: 4096 | Author: chiangmengche | Hits:

[VHDL-FPGA-VerilogVtoRGB

Description: Verilog写得BT656视频数据转为RGB数据的Quartus工程文件!-The verilog module for changing BT656 data to RGB data!
Platform: | Size: 149504 | Author: GC | Hits:

[VHDL-FPGA-VerilogBT656_RGB

Description: BT656转RGB的算法实现代码,使用VORILOG语言编写-BT656-->RGB, verilog
Platform: | Size: 3072 | Author: 王斌 | Hits:

[File FormatBT656

Description: bt656是一种视频格式。学习了解视频格式。对视频有一定的了解-bt656 is a video format. Learn to understand video format. Have some knowledge of video
Platform: | Size: 152576 | Author: linyong | Hits:

[Video CaptureBT656

Description: 主要介绍 标准的 8bit BT656(4:2:2)YCbCr SDTV(标清) 数字视频信号格式,主要针对刚刚入门模拟视频采集的初学者入门之用-Introduces the standard 8bit a BT 656 (4:2:2) YCbCr, SDTV (standard definition) digital video signal format, mainly for the beginner just started analog video capture
Platform: | Size: 39936 | Author: Ardy | Hits:

[VHDL-FPGA-Verilogbt656

Description: bt656格式转成bt601与ycbcr 4:2:2格式-the bt656 format converted to the bt601 with the YCbCr 4:2:2 format
Platform: | Size: 2048 | Author: wangda | Hits:

[Video CaptureBT656

Description: 此设计主要是完成BT656格式的视频数据的采集(VHDL)-this digital logic design is used to sample the BT656 format frame !
Platform: | Size: 4096 | Author: | Hits:

[Special EffectsBT656

Description: BT656资料中文版,可用于视频编解码,支持verilog等工具使用-BT656 information Chinese version can be used for video encoding and decoding, and other tools used to support verilog
Platform: | Size: 186368 | Author: | Hits:

[Graph programbt656

Description: 生成bt656数据格式,针对视频adv7127芯片(Generate bt656 data format,)
Platform: | Size: 21808128 | Author: fanwk | Hits:

[OtherCH7026 BT656

Description: BT656数字信号输入 模拟RGB输出,采用PIC单片机进行驱动(BT656 digital signal input analog RGB output, using PIC MCU to drive)
Platform: | Size: 256000 | Author: visionhappy | Hits:

[VHDL-FPGA-Verilogbt656_decode

Description: 将嵌入式BT656格式数据解码出带行场同步信号的YCbCr422格式数据(Decoding Embedded BT656 Format Data to YCbCr422 Format Data with Field Synchronization Signa)
Platform: | Size: 1024 | Author: magic_v5 | Hits:
« 12 3 »

CodeBus www.codebus.net