Welcome![Sign In][Sign Up]
Location:
Search - 8251 8251A

Search list

[SCM8251a编程

Description: 单片机控制8251a编程-SCM control 8251a Programming
Platform: | Size: 53248 | Author: 江南 | Hits:

[Other8251

Description: 使用8251进行串行通信,在主机键盘打入的字符可以显示在终端屏幕上,在终端屏幕上打入的字符显示在主机屏幕上。-8251 for the use of serial communication, the host of characters into the keyboard can display on the terminal screen, enter the terminal screen character display mainframe screens.
Platform: | Size: 1024 | Author: 郭娜 | Hits:

[ARM-PowerPC-ColdFire-MIPS微机实验4

Description: DATA51 EQU 208H 8251A DATA PORTCTRL51 EQU 209H 8251A COMMAND PORTTIMER2 EQU 202H 8253 COUNT 2TIMCTL EQU 203H 8253 CTRL PORTCLK00 EQU 8192 8251A CLK 4.77MBPS00 EQU 1200 BPS=1200FACTOR EQU 16 8251A BPS FACTOR=16
Platform: | Size: 1024 | Author: 叶文 | Hits:

[Driver DevelopIBM-8841I06-99AHT04

Description: 下面我们根据8251A芯片的使用体会对其在串行同步方式下的通信原理及应用进行着重介绍。在开始发送或接收之前,8251A必须装入一组由CPU 产生的控制字。-below 8251A chip based on the use of its experience in serial synchronous mode of communication theory and application highlights. At the beginning of sent or received before the 8251A must be loaded by a group of CPU controlled characters.
Platform: | Size: 107520 | Author: 裘俊超 | Hits:

[Otherchip8251

Description: 这个是用PROTEL做的8251A的管脚图,对于初学者可能比较有用!-this done with PROTEL 8251A pin map for beginners may be more useful!
Platform: | Size: 23552 | Author: chen | Hits:

[VHDL-FPGA-Verilog8251Verilog

Description: 通用串行异步收发器8251的Verilog HDL源代码,经过仿真验证。 -Universal Serial Asynchronous Receiver Transmitter 8251 the Verilog HDL source code, through simulation.
Platform: | Size: 15360 | Author: 钟兵 | Hits:

[VHDL-FPGA-Verilog8251

Description: 8251的完整的功能的实现,可以进行编译,综合.-8251 complete function of the realization can be compiled and integrated.
Platform: | Size: 1393664 | Author: 田宇 | Hits:

[VHDL-FPGA-Verilog8251_OSED

Description: 用VHDL语言实现可编程串口芯片8251,包括8251的全部功能-Using VHDL language programmable chip serial 8251, including all of the features of 8251
Platform: | Size: 367616 | Author: asd | Hits:

[Other systems8251

Description: 8251芯片功能的vhdl描述,可以在Quartus下综合-8251 chip features VHDL description can be integrated under the Quartus
Platform: | Size: 1362944 | Author: lyc | Hits:

[ARM-PowerPC-ColdFire-MIPSPC_serial_communication

Description: 1.单机自发自收串行通信。接收键入字符,从8251A的发送端发送,与同一个8251A的接收端接收,然后在屏幕上显示出来。 2.双机串行通信,在一台PC机键入字符,从8251A的发送端发送给另一台PC机,另一台PC机的 8251A的接收端接收,然后在屏幕上显示出来。 -1. Since the resumption of spontaneous stand-alone serial communication. Type the characters receive from the sending end to send the 8251A, 8251A with the receiving end of a receiver, and then displayed on the screen. 2. Dual serial communication, in a PC-type characters, from the sending end 8251A sent to another PC, another PC-8251A to receive the receiving end, and then displayed on the screen.
Platform: | Size: 332800 | Author: | Hits:

[VHDL-FPGA-VerilogIntel8251

Description: 用VHDL实现Intel 8251的UART功能-Intel 8251 with VHDL realization of the UART Function
Platform: | Size: 243712 | Author: | Hits:

[assembly language8251a

Description: 利用8088/86CPU控制8251A可编程串行通信控制器,实现两个实验台之间的串行通讯。其中一个实验台作为发送方,另一侧为接收方。发送方读入按键值,并发送给接收方,接收方收到数据后在LED上显示。-Use 8088/86CPU control 8251A Programmable serial communication controller, to achieve two experiments serial communication between China and Taiwan. One of the bench as the sender, the other side of the receiver. Read key value of the sender, and send it to the receiver, the receiver data received after the LED display.
Platform: | Size: 2048 | Author: 林燕 | Hits:

[assembly languageweiji

Description: 微型计算机与接口原理实验全部代码合集; 包含8259、8237、8251、8255等功能实现代码。-Micro-computer interface, all the code Collection principle experiment includes features such as 8259,8237,8251,8255 implementation code.
Platform: | Size: 1759232 | Author: 范亚运 | Hits:

[Other8251

Description: 在MAXPLUX下实现8251串口通信功能-The MAXPLUX achieve 8251 serial communication
Platform: | Size: 1558528 | Author: 洪依 | Hits:

[VHDL-FPGA-Verilog8251_OSED

Description: vhdl 实现的8251全部功能,非常实用-vhdl implementation of 8251 all of the features, very useful
Platform: | Size: 361472 | Author: 马承 | Hits:

[Other Embeded program8251

Description: 通过实验台按钮控制8251A发送数据: 连接实验台按钮SP到8255的PB0,连接8255片选CS到译码输出070; 初始化8255的PB端口为方式0; 初始化8251A: 方式命令字=异步16分频/8位/无校验/1个停止位:4EH 工作命令字=允许接收/允许发送/DTR=1/RTS=1/清除错误:37H 通过读取8255的PB的0位,检测按钮按下动作; 当按钮按下,控制8251A发送0-9的ASCII码共10个字节 ; 当程序在实验台运行,强行退出PC端DVCC软件,运行串行接口调试软件,观察确认接收数据。-Button control 8251A by test-bed to send data: SP button connected to the 8255 test-bed of PB0, connected to the decoder 8255 output of 070 CS election initialization 8255 of the PB port mode 0 initialize the 8251A: mode command word = asynchronous divide 16/8 bit/no parity/1 stop bit: 4EH word = work order to allow the reception/allowed to send/DTR = 1/RTS = 1/Clear the error: 37H PB-8255 by reading bit 0 Press the test button action when the button is pressed, the control 8251A 0-9 ASCII code sent a total of 10 bytes when the program runs in the test bed, forced to exit PC-DVCC software, run the serial interface debugging software, observation confirmed receiving data.
Platform: | Size: 530432 | Author: zhaolulu | Hits:

[SCM8251

Description: 可编程串行通信控制器8251A的应用,应用8251编写串行通讯程序-8251A programmable serial communication controller application, the application of 8251 writing serial communication program
Platform: | Size: 1024 | Author: shibo | Hits:

CodeBus www.codebus.net