Welcome![Sign In][Sign Up]
Location:
Search - 7128

Search list

[OtherEpm7128slc84csheylt

Description: 压缩文件中包含一个7128原理图和简单的测试文件-Compressed file contains a 7128 and a simple schematic of the test file
Platform: | Size: 2031616 | Author: 李述良 | Hits:

[OtherEPM7032-7128

Description: altera 公司的EPM7032和EPM7128的DATASHEET-altera s EPM7032 and EPM7128 of DATASHEET
Platform: | Size: 967680 | Author: joseph | Hits:

[VHDL-FPGA-VerilogVHDL_Development_Board_Sources

Description: CPLD开发板VHDL源程序并附上开发板的原理图-CPLD development board VHDL source code along with the development board schematics
Platform: | Size: 4709376 | Author: liaoyintang | Hits:

[Other Embeded programTHB7128

Description: 步进电机驱动芯片THB7128全套资料! ● 双全桥MOSFET驱动,低导通电阻Ron=0.53Ω ● 最高耐压40VDC,大电流3.3 A(峰值) ● 多种细分可选(1、1/2、1/4、1/8、1/16、1/32、1/64、1/128) ● 自动半流锁定功能 ● 内置混合式衰减模式 ● 内置输入下拉电阻 ● 内置温度保护及过流保护 -stepper motor dirver IC
Platform: | Size: 678912 | Author: tangjiagong | Hits:

[Software Engineeringvhdl-TAXI

Description: 随着EDA技术的发展及大规模可编程逻辑器件CPLD/FPGA的出现,电子系统的设计技术和工具发生了巨大的变化,通过EDA技术对CPLD/FPGA编程开发产品,不仅成本低、周期短、可靠性高,而且可随时在系统中修改其逻辑功能。本文利用VHDL语言设计出租车计费系统,使其实现汽车启动、停止、暂停时计费以及预置等功能,通过设置计数电路进行路费及路程的计数,通过设计数据转换电路将路费及路程的十进制数分离成四位十进制数表示,通过设计快速扫描电路显示车费及路费,突出了其作为硬件描述语言的良好的可读性的优点。通过MAX+PLUSⅡ软件编写、调试和优化源程序,下载到特定芯片(MAX系列的EPM 7128SLC8415)后,即可应用于实际的出租车计费系统中。-ith the development of EDA technologies and large-scale programmable logic device CPLD/FPGA emergence of electronic systems design techniques and tools has undergone tremendous changes, through the EDA technology CPLD/FPGA programming product development, not only low-cost, short lead time, high reliability, but also may at any time in the system to modify its logic function. In this paper, VHDL language design taxi billing system to achieve the car to start, stop, pause, time billing and preset functions, by setting the tolls and the distance counting circuit count, through the design of data conversion circuits and the journey will be toll separated into four decimal decimal number, said a quick scan through the design of the circuit shows fares and tolls, highlighting its position as a hardware description language, the advantages of good readability. Through the MAX+ PLUS Ⅱ software development, debugging and optimizing the source code, download to a specific chip (MAX series of EP
Platform: | Size: 269312 | Author: stella | Hits:

[SCM1

Description: 7128步进电机驱动芯片控制程序、通过RS232串口进行控制,也可通过RS485进行总线控制-7128 stepper motor driver chip control procedures, control through RS232 serial port can also be carried out through the RS485 bus control
Platform: | Size: 19456 | Author: zhengfu | Hits:

[SCM7128

Description: 1、掌握运算器的数据传输方式。 2、掌握74LS181的功能和应用。 3、学习并掌握利用CPLD器件通过原理图进行算术逻辑单元的设计。 1、完成16位不带进位位算术、逻辑运算实验。按照实验步骤完成实验项目,了解算术逻辑运算单元的运行过程。 2、通过原理图配置EPM7128的内部电路结构,使其替代分离的算术逻辑运算单元的设计。 -A master computing device data transmission. 2, to grasp the features and applications of the 74LS181. To learn and master the use of the CPLD device through the schematic design of the arithmetic logic unit. 1 to complete the 16 into a bit arithmetic and logic operations experiments. Completion of the pilot project, in accordance with the experimental procedures and understanding of arithmetic and logic unit is running. 2, the schematic configuration the EPM7128 the internal circuit structure it to replace the design of the separation of arithmetic and logic unit.
Platform: | Size: 1361920 | Author: 冷色系绝恋 | Hits:

[VHDL-FPGA-Verilogled_display

Description: 用fpga芯片实现7段数码管静态显示7128-Using the fpga chip realize 7 period of digital tube static display 7128
Platform: | Size: 1024 | Author: xuyawang | Hits:
« 1 2»

CodeBus www.codebus.net