Welcome![Sign In][Sign Up]
Location:
Search - 24 hr clock using vhdl

Search list

[Otherclock

Description: 本文档采用VHDL语言编写了一个数字时钟的程序,该数字时钟采用24小时制计时,可以实现整点报时,时间设置,闹钟等功能。最小分辨率为1秒。-VHDL language in this document using a digital clock to prepare the procedure, the digital clock 24-hour time system, you can bring the whole point of time, time settings, alarm clock functions. Minimum resolution of 1 second.
Platform: | Size: 680960 | Author: cindy | Hits:

CodeBus www.codebus.net