Welcome![Sign In][Sign Up]
Location:
Search - 1602 fpga

Search list

[Other resourcefpga+1602

Description: 本程序用VHDL语言编程实现FPGA对点阵液晶1602的驱动
Platform: | Size: 1676 | Author: pdu | Hits:

[VHDL-FPGA-Verilog1602的FPGA控制

Description: 利用Altera的FPGA控制1602的模块
Platform: | Size: 799557 | Author: qiuwangsha | Hits:

[VHDL-FPGA-Veriloglcd_controller

Description: CFAH1602BNYAJP液晶的fpga控制程序-CFAH1602BNYAJP they simply control procedures
Platform: | Size: 2048 | Author: 陈世利 | Hits:

[VHDL-FPGA-Verilogbox

Description: 用vhdl语言编写的基于fpga的波形发生器,使用了quartusII程序。可以在1602液晶显示器上显示目前的波形种类。产生的波形分别是正弦波,三角波,锯齿波和方波。-VHDL language using FPGA-based waveform generator, using the procedures quartusII. 1602 liquid crystal display can show the current waveform types. Generated waveforms are sine wave, triangle wave, sawtooth and square wave.
Platform: | Size: 1708032 | Author: zhg | Hits:

[Other systemsfpga+1602

Description: 本程序用VHDL语言编程实现FPGA对点阵液晶1602的驱动 -This procedure using VHDL language programming FPGA to realize the 1602 dot-matrix LCD driver
Platform: | Size: 1024 | Author: pdu | Hits:

[SCM1602

Description: lcd1602的一些中文资料,初始化方法等包含有PDF,文档和其他一些文件,希望对你们有用-Some Chinese lcd1602 information contains initialization methods have PDF, documents and other documents you wish to be useful
Platform: | Size: 2649088 | Author: 黄灵鹭 | Hits:

[Otherlcd1602

Description: FPGA工程文件 通过FPGA在LCD上显示“this is my frist program"的字体 已经验证,供大家学习使用。-FPGA through the FPGA project file in the LCD display
Platform: | Size: 630784 | Author: 马亮 | Hits:

[VHDL-FPGA-VerilogS4_LCD_V

Description: 我买的红色飓风FPGA,EP1C6开发板的配套USBA实验例程 LCD模块的程序-I bought a red hurricane FPGA, EP1C6 development board supporting LCD module routines USBA experimental procedures
Platform: | Size: 930816 | Author: 孙建军 | Hits:

[SCMMSP430F149_IIC_DAC5571

Description: 本源码维MSP430F149控制IIC协议的AD芯片DAC5571,并再1602液晶上显示数据 MCU的P1.0、P1.1 端口与DAC5571 的SDA、SCK端口连接,通过在两个GPIO上模拟 I2C时序从而实现对DAC的操作。可以看到,DAC5571 的输出端Vout连接到了跳线座P7 的第 1 脚。如果用短路帽将跳线座J1 的 2 脚 和 3 脚连接,则DAC的输出直接驱动LED,可以通过LED亮度的变化直观地观察到 DAC输出电压值的变化;如果用短路帽将跳线座J1 的2 脚和1 脚连接,则可以用 MSP430 内置的ADC对DAC输出的电压进行采样转换,对ADC和DAC电路同时进行应用。-MSP430F149-dimensional control of the source of the AD Agreement IIC chip DAC5571, and another 1602 on the display data LCD MCU of P1.0, P1.1 ports of the DAC5571 and SDA, SCK-port connectivity, through two GPIO on I2C timing simulation in order to achieve DAC operation. Can be seen, DAC5571 output Vout is connected to a jumper P7 Block 1 foot. If the cap will short-circuit jumper J1 Block, 2 pin and 3 pin connection, the DAC output to directly drive LED, through the LED brightness can be visually observed changes in DAC output voltage changes in value If the cap will short-circuit jumper Block J1 2 feet and 1 foot to connect, you can use the built-in ADC of the MSP430 output voltage DAC sampling conversion of ADC and DAC circuit applications at the same time.
Platform: | Size: 35840 | Author: skywalker | Hits:

[VHDL-FPGA-Verilogvhdl

Description: 时钟程序 用于FPGA开发板上 在LCD1602上显示时,分,秒,十分之一秒-Clock program for FPGA development board in the LCD1602 display hours, minutes, seconds, tenth of seconds
Platform: | Size: 2048 | Author: lijingfeng | Hits:

[VHDL-FPGA-Verilogscorce

Description: FPGA驱动1602LCD程序,在实验板上实验成功,和大家分享!^_^-FPGA-driven 1602LCD procedures, the success of the experiment on-board experiments, and the U.S. to share! ^ _ ^
Platform: | Size: 2048 | Author: whq | Hits:

[Embeded-SCM Developserial_niosII

Description: 基于Altera fpga 的软核 nios2的串口调试代码-Based on the Altera fpga soft core nios2 serial debug code
Platform: | Size: 3072 | Author: lixu | Hits:

[VHDL-FPGA-Verilog16X2-LCD-FPGA

Description: 16X2液晶显示屏的FPGA显示驱动设计。-16x2 LCD display driver design of the FPGA.
Platform: | Size: 400384 | Author: lixu | Hits:

[OtherFPGA-CPLD_sdr

Description: FPGA-CPLD在软件无线电中的工程应用,基础知识,课件-FPGA-CPLD in software radio engineering applications, basic knowledge, courseware
Platform: | Size: 1957888 | Author: roc2007 | Hits:

[VHDL-FPGA-VerilogVHDL_1602

Description: 显示LCD,采用VHDL语言编写,基于1602的显示模块-Display LCD, using VHDL language, based on the 1602 display module
Platform: | Size: 1594368 | Author: lk | Hits:

[VHDL-FPGA-Verilog1602_jp

Description: FPGA lcd显示程序,可以扫描键盘输入,并在lcd上显示,-FPGA lcd display program, you can scan the keyboard input and display in lcd,
Platform: | Size: 478208 | Author: zdy | Hits:

[OtherFPGALcd1602

Description: lcd1602 fpga 驱动 液晶1602的FPGA 驱动,VHDL编写-lcd 1602 fpga driver
Platform: | Size: 3072 | Author: zrc | Hits:

[VHDL-FPGA-Veriloghdl

Description: ACTEL FPGA 1602显示,verilog描述-ACTEL FPGA 1602 show, verilog description
Platform: | Size: 3072 | Author: gouyouwen | Hits:

[VHDL-FPGA-VerilogACTEL-FPGA-1602(Verilog)

Description: 1602液晶显示程序,用verilog写的!-1602 LCD program, written using verilog!
Platform: | Size: 4096 | Author: wns | Hits:

[VHDL-FPGA-Verilog基于FPGA的LCD1602液晶显示实验

Description: 基于FPGA的LCD1602液晶显示实验,可以通过实验箱用相应的XiLinex平台实现对液晶1602的显示,包括汉字和英文
Platform: | Size: 406528 | Author: libo_jay2009@163.com | Hits:
« 12 3 4 5 6 »

CodeBus www.codebus.net