Introduction - If you have any usage issues, please Google them yourself
library ieee
use ieee.std_logic_1164.all
entity hdb3 is
port(codein: in std_logic
clk : in std_logic
clr : in std_logic --复位信号
codeout: out std_logic_vector(1 downto 0))
end hdb3