Welcome![Sign In][Sign Up]
Location:

List Page 259837

« 1 2 ... .32 .33 .34 .35 .36 259837.38 .39 .40 .41 .42 ... 267493 »

[AI-NN-PR第8章 自组织竞争神经网络

Description: The eighth chapter of self organizing competitive neural network Matlab example + source code
Platform: | Size: 9216 | Author: 李响2666 | Hits:

[Other06976911

Description:
Platform: | Size: 32768 | Author: cosf%2524515 | Hits:

[VHDL-FPGA-VerilogDIGITALCLOCK

Description: Multi-function digital species can realize the function of time alarm clock and other functions
Platform: | Size: 2048 | Author: guoerpro | Hits:

[OtherCapl instruction by Canoe

Description: capl language and CanOE Learning. for bus,car debug or test and so on.
Platform: | Size: 4401152 | Author: yr1986 | Hits:

[VHDL-FPGA-Verilogm60

Description: Use Verilog to realize the function of the mode sixty count, 0-1-2-3-4-5-....-59-0-1-2.
Platform: | Size: 1024 | Author: guoerpro | Hits:

[SCM给力者PIC单片机代码生成系统V4.1

Description: Program generation software of PIC Single Chip Microcomputer
Platform: | Size: 574464 | Author: stay | Hits:

[Windows Developuuthalfeature

Description:
Platform: | Size: 9216 | Author: hreate | Hits:

[Gsend

Description: Through the itchat module, the WeChat user name is sent, and the data connection is matched to realize the sending of the study report.
Platform: | Size: 1024 | Author: guoerpro | Hits:

[JSP/Javacontxinerjbread

Description:
Platform: | Size: 47104 | Author: hreate | Hits:

[Embeded-SCM DevelopCallDemo

Description: An embedded operating system, a simple phone program based on a base
Platform: | Size: 25677824 | Author: 起名字太麻烦 | Hits:

[Special Effectsimport20

Description: matlab import images
Platform: | Size: 1024 | Author: 江术溪 | Hits:

[Othermentohust-master

Description: Ruijie campus network connectivity tools, support OpenWRT/Ubuntu/Fedora.
Platform: | Size: 134144 | Author: guoerpro | Hits:
« 1 2 ... .32 .33 .34 .35 .36 259837.38 .39 .40 .41 .42 ... 267493 »

CodeBus www.codebus.net