Welcome![Sign In][Sign Up]
Location:
Search - wimax in vhdl

Search list

[Communication-Mobilectc

Description:
Platform: | Size: 10776576 | Author: 曹贺秋 | Hits:

[Communication-Mobilerandomizer

Description: randomizer uused for wimax application impelemented using vhdl
Platform: | Size: 22528 | Author: ahmed | Hits:

[VHDL-FPGA-Verilogconvol_enc

Description: VHDL code for convolution encoder for wimax PHY layer. This design also has control to add controlled amount of noise in encoded output.
Platform: | Size: 1024 | Author: zpatel | Hits:

CodeBus www.codebus.net