Welcome![Sign In][Sign Up]
Location:
Search - vhdl of modulation

Search list

[Other resourcesome-usful-vhdl-source-code

Description: 一些实用的VHDL源码,有各种信号调制的,还有LCD控制的,出租车计价器等等源码。-some practical VHDL source code, a variety of signal modulation, there is the LCD control. taximeters, etc. source.
Platform: | Size: 1278750 | Author: 雨风 | Hits:

[VHDL-FPGA-VerilogMPSK调制与解调VHDL程序与仿真

Description: MPSK调制与解调VHDL程序与仿真,具有很高的参考价值!!vhdl代码!-MPSK modulation and demodulation process and VHDL simulation, high reference value! ! VHDL code!
Platform: | Size: 79872 | Author: 温暖感 | Hits:

[VHDL-FPGA-VerilogFSKmodemodulateVHDLprogramme

Description: FSK调制与解调的vhdl源代码与仿真指导,是word文档打开。-FSK modulation and demodulation of VHDL source code and simulation of the guide is the word document open.
Platform: | Size: 52224 | Author: 吴涛 | Hits:

[source in ebooksome-usful-vhdl-source-code

Description: 一些实用的VHDL源码,有各种信号调制的,还有LCD控制的,出租车计价器等等源码。-some practical VHDL source code, a variety of signal modulation, there is the LCD control. taximeters, etc. source.
Platform: | Size: 1278976 | Author: 雨风 | Hits:

[MiddleWareM_generate

Description: m序列产生编码,vhdl硬件实现用于实现调制解调-m sequence code generated, vhdl hardware implementation for the realization of modulation and demodulation
Platform: | Size: 247808 | Author: xiaohuaifeng | Hits:

[Bio-RecognizeGMSK_matlab

Description: gmsk的调制哦与解调的MATLAB程序-Oh GMSK modulation and demodulation of the MATLAB program
Platform: | Size: 3072 | Author: 闫向华 | Hits:

[VHDL-FPGA-Verilogalaw

Description: 使用VHDL实现通信脉冲编码调制(PCM)中的a律转换,并实现串并、并串转换。-Use VHDL to achieve communication pulse code modulation (PCM) of a law conversion, and to achieve and string, and string conversion.
Platform: | Size: 5120 | Author: wl | Hits:

[VHDL-FPGA-Verilogelecfans.com-74783742

Description: FPGA的重要实例,如PSK调制和解调,ASK,FSK-An important example of FPGA, such as PSK modulation and demodulation, ASK, FSK
Platform: | Size: 1278976 | Author: 钟莉 | Hits:

[CommunicationBaseband_line_code

Description: 本课程设计完成了基带线路码产生电路的设计,数字基带信号的传输是数字通信系统的重要组成部分之一。在数字通信中,有些场合可不经过载波调制和解调过程,而对基带信号进行直接传输。为使基带信号能适合在基带信道中传输,通常要经过基带信号变换,这种变换过程事实上就是编码过程。本些课题实现了这样的编码过程。-This course is designed to use VHDL hardware description language completed the base-band circuits have a code of the circuit design. Digital base-band signal transmission of digital communications systems is an important part of one.In the signal before transmission to go through the code to deal with. In digital communications, there are some occasions, not through the process of modulation and demodulation, and base-band signal for direct transmission. In order to be able to base-band signal for the base-band transmission channels, usually after a base-band signal transformation, the transformation process is in fact the process of encoding.
Platform: | Size: 683008 | Author: li | Hits:

[VHDL-FPGA-VerilogVHDL

Description: PWM控制就是产生一定周期,占空比不同的方波信号,当占空比较大时,电机转速较高,否则电机转速较低。当采用FPGA产生PWM波形时,只需FPGA内部资源就可以实现,数字比较器的一端接设定值输出,另一端接线性递增计数器输出。当线性计数器的计数值小于设定值时输出低电平,当计数器大于设定值时输出高电平,这样就可通过改变设定值,产生占空比不同的方波信号,从而达到控制直流电机转速的目的。 直流电机控制电路主要由2部分组成,如图1所示:  FPGA中PWM脉宽调制信号产生电路;  FPGA中正/反转方向控制电路 -PWM control is a certain cycle, a different duty cycle square wave signal, when the duty cycle is high, higher motor speed, or lower motor speed. When the PWM waveform generated using FPGA, the FPGA internal resources only can be achieved, the figure one comparator output termination setting, the other linear incremental counter output termination. When the value of linear counter output is less than low-level settings, when the counter output is greater than high settings, so that by changing the settings can produce different duty cycle square wave signal, DC motor control to achieve the purpose of speed. DC motor control circuit mainly by 2 parts, as shown in Figure 1:  FPGA in the PWM pulse width modulation signal generator circuit Chiang Kai-shek  FPGA/reverse direction control circuit
Platform: | Size: 37888 | Author: 袁玉佳 | Hits:

[VHDL-FPGA-VerilogFPGA_AM

Description: 基于cyclone系列FPGA的模拟幅度调制的VHDL代码-Cyclone series FPGA-based simulation of VHDL code amplitude modulation
Platform: | Size: 642048 | Author: 李承运 | Hits:

[Communication-Mobilefskpsk

Description: FSK和PSK的ewb实现,很好很强大的调制系统。-Ewb of FSK and PSK to achieve good modulation system is very powerful.
Platform: | Size: 7606272 | Author: 宋庆 | Hits:

[VHDL-FPGA-Verilogmodule_dem

Description: 用verilog编写的信号调制解调程序,包括ask,fsk,qpsk的fpga实现-Prepared using verilog signal modulation and demodulation process, including ask, fsk, qpsk of fpga implementation
Platform: | Size: 6068224 | Author: yu | Hits:

[VHDL-FPGA-Verilogask_fsk

Description: 数字通信系统振幅键控ASK信号和频移键控FSK的调制与解调的VHDL代码-ASK amplitude shift keying digital communication system signal and the frequency shift keying modulation and demodulation of the VHDL code for
Platform: | Size: 2048 | Author: lihao | Hits:

[VHDL-FPGA-Verilogcpsk

Description: 用VHDL硬件语言对BPSK调制解调系统进行编写,仿真通过,源代码-VHDL hardware language using BPSK modulation and demodulation system, the preparation, simulation adopted, the source code
Platform: | Size: 68608 | Author: 王岩嵩 | Hits:

[Post-TeleCom sofeware systemsqam_64

Description: 64QAM调制,采用硬件语言verilog实现,其中调用了DDS的IP核-64QAM modulation, using language verilog hardware implementation, which is called the IP core of the DDS
Platform: | Size: 1024 | Author: zhujing | Hits:

[VHDL-FPGA-VerilogStudy_on_Key_Technologies_of_n4-DQPSK_Modulation_a

Description: 本文首先研究可4一DQPsK调制解调系统中调制部分的基本原理和各个模块的设计方案,重点研究成形滤波器和直接数字频率合成器 (DireetoigitalFrequeneySynihesis,简称DDS),并针对各个关键模块算法进行matlab设计仿真,展示仿真结果。其次,研究调制解调系统解调部分的基本原理和各个模块的设计方案,重点研究差分解调,数字下变频和位同步算法,也针对其各个关键模块进行算法的Matlab设计仿真。然后用Matlab对整个系统进行理论仿真,得出结论。在此基础 上,采用超高速集成电路硬件描述语言(VeryHighspeedxntegatedeireuitHardware DescriptionLan即age,简称VHDL)在Altera公司 Quartusll7.0开发环境下设计并实现各个功能块,通过仿真来证明功能正确性。再次,用 Protel99SE进行印制电路板(Prinicircuitboard,简称PcB)设计,从原理图到封装,再到布局布线。焊接调试完毕后,将设计好的程序下载至FPGA主芯片。最后观察信号时域波形、星座图、眼图。本系统信源输入符号速率100kbPs,调制中频10MHz。测试结果验证系统的正确性,实现了从数字基带到中频的可4一DQPSK调制解调系统-This study is the first 4 1 DQPsK modem modulation system, part of the basic principles and design of each module, focusing on shaping filter and a direct digital frequency synthesizer (DireetoigitalFrequeneySynihesis, referred to as DDS), and to address all the key modules algorithm matlab design simulation to show simulation results. Second, the study of modulation and demodulation system demodulation part of the basic principles and design of each module, focusing on differential demodulation, digital down conversion and bit synchronization algorithm, but also for its various key module of the Matlab algorithm design and simulation. Then use the Matlab simulation of the entire system theory, reach a conclusion. On this basis, , Using ultra-high speed integrated circuit hardware description language (VeryHighspeedxntegatedeireuitHardware DescriptionLan that age, referred to as VHDL) in the Altera Corporation Quartusll7.0 development environment to design and implement the variou
Platform: | Size: 5457920 | Author: cai | Hits:

[VHDL-FPGA-Verilog16QAM

Description: 16QAM调制与解调的Verilog语言的功能实现-the realization of 16QAM modulation and demodulation on Verilog language
Platform: | Size: 311296 | Author: 由佳彬 | Hits:

[VHDL-FPGA-Verilogspwm

Description: 关于SPWM调制设计VHDL代码 关于SPWM调制设计VHDL代码-SPWM modulation on the design of VHDL code design on the VHDL code modulation SPWM
Platform: | Size: 1024 | Author: | Hits:

[VHDL-FPGA-Verilog2FSK调制解调的FPGA实现(VHDL)

Description: 2FSK调制解调的FPGA设计,基于XINLINX的ISE平台开发,采用VHDL语言设计,有设计文档,欢迎学习借鉴(The FPGA design of 2FSK modulation and demodulation, based on the ISE platform of xinlinx, is designed with VHDL language, with design documents, welcome to learn)
Platform: | Size: 2466816 | Author: 无线电之家99 | Hits:
« 12 3 4 5 6 7 8 »

CodeBus www.codebus.net