Welcome![Sign In][Sign Up]
Location:
Search - vhdl games

Search list

[Other resourceplane_game

Description: 此为一用VHDL编写的硬件游戏程序,在16*16的点阵上实现了打飞机游戏,可以打飞机,也可以把飞机躲过去。挺有意思的。-this as a preparation using VHDL hardware Games, 16 * 16 in the lattice achieving an aircraft game, it could have aircraft and the aircraft can escape to. Quite interesting.
Platform: | Size: 48338 | Author: 万广鲁 | Hits:

[Other resourceVHDLexample49

Description: VHDL的49个例子,例子丰富,有计数器、状态机、寄存器、汉明纠错码编码器、游戏程序-VHDL 49 examples, examples of rich, counters, state machines, register, Hamming ECC encoder, Games, etc.
Platform: | Size: 44507 | Author: 刘一 | Hits:

[VHDL-FPGA-Verilogledleft

Description: xilinx的SPARTAN-3E入门开发板实例 根据官方公布的led移动范例改写。 原范例仅提供了源代码、烧写文件以及dos窗口下使用的烧写bat文件。 本实例采用了ise7.1i创建,在ise下重建整个工程,有助于初学者理解使用。-xilinx the SPARTAN-3E portal development board examples According to the official announcement led to the mobile Examples rewritten. Original examples provided only source code, dos burning documents and the use of the window of burning bat documents. The examples used ise7.1i creation, the redevelopment of the entire ise project will help beginners understand the use.
Platform: | Size: 393216 | Author: 韩兆伟 | Hits:

[VHDL-FPGA-VerilogVHDLexample49

Description: VHDL的49个例子,例子丰富,有计数器、状态机、寄存器、汉明纠错码编码器、游戏程序-VHDL 49 examples, examples of rich, counters, state machines, register, Hamming ECC encoder, Games, etc.
Platform: | Size: 44032 | Author: 刘一 | Hits:

[VHDL-FPGA-Verilogpingpong

Description:
Platform: | Size: 75776 | Author: 王力 | Hits:

[VHDL-FPGA-VerilogRacinggame

Description: 赛车游戏,VHDL数字系统设计,经过QUARTUS的验证,非常好用,有非常丰富的解释,游戏有赛道,碰赛道者挂,GAME OVER-Racing game, VHDL digital system design, through verification QUARTUS, very easy to use, has a very rich interpretation, games have the track circuit are linked to touch, GAME OVER
Platform: | Size: 3497984 | Author: ayls | Hits:

[VHDL-FPGA-Verilogbaheyouxiji

Description: 拔河游戏机, 1、 设计一个能进行拔河游戏的电路。 2、 电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。 3、 游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。 4、 亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。 5、 用数码管显示获胜者的盘数。教学提示: 1、 按钮信号即输入的脉冲信号,每按一次按钮都应能进行有效的计数。 2、 用可逆计数器的加、减计数输入端分别接受两路脉冲信号,可逆计数器原始输出状态为0000,经译码器输出,使中间一只二极管发亮。 3、 当计数器进行加法计数时,亮点向右移;进行减法计数时,亮点向左移。 4、 由一个控制电路指示谁胜谁负,当亮点移到任一方终端时,由控制电路产生一个信号,使计数器停止计数。 5、 将双方终端二极管“点亮”信号分别接两个计数器的“使能”端,当一方取胜时,相应的计数器进行一次计数,这样得到双方取胜次数的显示。 6、 设置一个“复位”按钮,使亮点回到中心,取胜计数器也要设置一个“复位”按钮,使之能清零。-1, design a circuit can be a tug of war game. 2, the circuit using the 15 (or 9) light-emitting diodes, only after the middle of a bright start, namely the center of a tug of war. 3, games, and each side holding a button, rapidly and constantly pressed to produce pulses, who according to fast, to whom the direction of bright spots on the move, every time, bright spots move once. 4, highlights the terminal diode when the move to either party, the party would win, this time the two sides had no role in the button, the output to maintain, and only reset after so bright to restore to the center. 5, digital tube display the number of the winner of the plate. Teaching tips: 1, buttons, signals that the input pulse signal, every time I press the button should be able to effectively count. 2, with reversible counter to add, subtract count input pulse signal, respectively to accept two-way, reversible, the original output of the counter state 0000, via decoder output, so that the middle of an L
Platform: | Size: 6144 | Author: kxsh | Hits:

[Game Programdayuchixiaoyu

Description: 用硬件描述语言写的小游戏(大鱼吃小鱼),比较简单-Written using hardware description language games (大鱼吃小鱼)
Platform: | Size: 2959360 | Author: lilang | Hits:

[Otherbahe

Description: 用VHDL编写的拔河游戏控制程序,具有比较好演示效果-Written in a tug of war games with VHDL control procedures, with better presentation effect
Platform: | Size: 438272 | Author: xiaoxiao | Hits:

[Windows Developvga_game_demo

Description: 乒乓球游戏,基于Xilinx板子,并且有vga IP核,使用EDK进行编程-Table Tennis Games
Platform: | Size: 1779712 | Author: cathy | Hits:

[Other GamesCheckers

Description: Checkers is one of the most commonly played games in the world, each culture has its own version of it and feels as if it originated from it, though its original implementation was Chinese. This project is interesting for several reasons including the fact that the end result is concrete and enjoyable. After working on this project for such a long time, it is truly rewarding to be able to play a round of checkers on your own implementation especially knowing that you omitted some of the rules.the project is implemented in VHDL.
Platform: | Size: 889856 | Author: stefanescul | Hits:

[VHDL-FPGA-Verilogpinball

Description: 此程序为在FPGA开发平台上用VHDL语言编程实现二维模拟打弹球游戏。-This program FPGA development platform in the VHDL language programming with two-dimensional simulation of pinball games to play.
Platform: | Size: 277504 | Author: 梁乐 | Hits:

[VHDL-FPGA-VerilogEDA

Description: 基于VHDL实现乒乓球游戏机的功能,以发光二极管模拟乒乓球及球网,可以选择球的速度-VHDL implementation based on the function of table tennis games, table tennis and with LED simulated the net, you can choose the speed of the ball
Platform: | Size: 947200 | Author: jinlongli | Hits:

[VHDL-FPGA-Verilogsaicheyouxi

Description: 用VHDL软件开发了赛车游戏,经过max plus 2的验证 很好而且很实用 很有意思-VHDL software was developed with racing games, after a good verification max plus 2 very interesting and very useful
Platform: | Size: 3523584 | Author: 卧虎 | Hits:

[VHDL-FPGA-Verilogpptest

Description: vhdl代码的乒乓球游戏程序,使用de2平台验证-vhdl code of the table tennis games, platform verification using de2
Platform: | Size: 674816 | Author: dingxing | Hits:

[VHDL-FPGA-Verilogmoveophone

Description: 移动式的游戏控制器基于vhdl. 简单结构 目前只能识别led-Due to the recent trend in creating devices that allow the playing of games using movement rather than a traditional joystick, controller, or keyboard, we felt that a project that followed this idea would be interesting. This led us to the idea of using movement to control a musical instrument, while removing a physical instrument from the equation.
Platform: | Size: 7315456 | Author: 张洋洋 | Hits:

[VHDL-FPGA-Veriloggreedy_snake_vhdl

Description: 用VHDL实现的贪食蛇对战游戏,支持串口实现的联机对战-Battle using VHDL Snake games, support the serial realization of online battle
Platform: | Size: 706560 | Author: Zhang Le | Hits:

[VHDL-FPGA-Verilogball_game

Description: VHDL VGA 弹球游戏 基于Xilinx Spartan 3E的FPGA 通过VGA显示弹球游戏-VHDL VGA pinball game is based on Xilinx Spartan 3E FPGA pinball games via VGA display
Platform: | Size: 5120 | Author: 胡杨 | Hits:

[Other GamesPong.tar

Description: 乒乓游戏,经典游戏用vhdl进行的学生设计项目-Students design projects ping pong games, classic games conducted with vhdl
Platform: | Size: 2425856 | Author: 张每水 | Hits:

[VHDL-FPGA-Verilogpiano_fina1

Description: 基于VHDL的简易电子琴游戏,可实现发声,点阵显示,倒数计时,计分等功能-VHDL simple electronic organ based games, can realize the voice, dot matrix display, countdown, scoring function
Platform: | Size: 1035264 | Author: zhangxiangrui | Hits:
« 12 »

CodeBus www.codebus.net