Welcome![Sign In][Sign Up]
Location:
Search - vhdl TLC5510

Search list

[Other resourceTLC5510.VHDL

Description: TLC5510 VHDL控制程序 基于VHDL语言,实现对高速A/D器件TLC5510控制-TLC5510 VHDL control procedures based on the VHDL language, to achieve high-speed A / D control device TLC5510
Platform: | Size: 3351 | Author: 少龙 | Hits:

[Other resourceVHDL

Description: 包含TLC5510 VHDL控制程序,TLC7524接口电路程序和URAT VHDL程序与仿真,都已调试过.
Platform: | Size: 92496 | Author: gillyamylee | Hits:

[VHDL-FPGA-VerilogTLC5510.VHDL

Description:
Platform: | Size: 3072 | Author: 少龙 | Hits:

[File FormatFPGA_27eg

Description: FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MASK调制VHDL程序及仿真; MFSK调制VHDL程序及仿真; MPSK调制与解调VHDL程序与仿真; 基带码发生器程序设计与仿真; 频率计程序设计与仿真; 采用等精度测频原理的频率计程序与仿真; 电子琴程序设计与仿真 2004.8修改; 电子琴程序设计与仿真; 电梯控制器程序设计与仿真; 电子时钟VHDL程序与仿真; 自动售货机VHDL程序与仿真; 出租车计价器VHDL程序与仿真 2004.8修改; 出租车计价器VHDL程序与仿真; 波形发生程序; 步进电机定位控制系统VHDL程序与仿-FPGA value of the 27 examples. Rar including LED control procedures and VHDL simulation 200 4.8 amendments. doc; LED control procedures and VHDL simulation; LCD control procedures and VHDL simulation 2004.8 modified; LCD control procedures and VHDL simulation; Connection between ADC 0809 VHDL control procedures; TLC5510 VHDL control procedures; DAC0832 interface circuits; TLC7524 interface circuits; URAT procedures and VHDL simulation; ASK modulation and demodulation process and VHDL simulation; FSK modulation and demodulation process and VHDL simulation; PSK modulation and demodulation process and VHDL simulation; MASK modulation procedures and VHDL simulation; MFSK modulation procedures and VHDL simulation; MPSK modulation and demodulation process and VHDL simulation; Base-band code gene
Platform: | Size: 1278976 | Author: | Hits:

[VHDL-FPGA-VerilogTLC5510

Description: altera Quartus II TLC晶片控制 可控制暫存器,手動調整內碼。 (含電路) -altera Quartus II TLC chip control registers can be controlled manually adjust the code. (With circuit)
Platform: | Size: 129024 | Author: 陳小龍 | Hits:

[SCMSPI

Description: // This program accesses a SPI EEPROM using polled mode access. The F06x MCU // is configured in 4-wire Single Master Mode, and the EEPROM is the only // slave device connected to the SPI bus. The read/write operations are // tailored to access a Microchip 4 kB EEPROM 25LC320. The relevant hardware // connections of the F06x MCU are shown here:
Platform: | Size: 72704 | Author: 蓝天 | Hits:

[VHDL-FPGA-VerilogVHDL

Description: 包含TLC5510 VHDL控制程序,TLC7524接口电路程序和URAT VHDL程序与仿真,都已调试过.-TLC5510 VHDL contains control procedures, TLC7524 interface circuit processes and procedures and URAT VHDL simulation, have been debug.
Platform: | Size: 92160 | Author: gillyamylee | Hits:

[VHDL-FPGA-VerilogTLC5510VHDL

Description: 功能:基于VHDL语言,实现对高速A/D器件TLC5510控制-Features: Based on the VHDL language, realize high-speed A/D control devices TLC5510
Platform: | Size: 3072 | Author: 黄上 | Hits:

[VHDL-FPGA-VerilogTLC5510_VHDL

Description: 基于VHDL语言,实现对高速A/D器件TLC5510控制-Based on the VHDL language, to achieve high-speed A/D device control TLC5510
Platform: | Size: 1024 | Author: huangsong | Hits:

[VHDL-FPGA-Verilogchengxu

Description: 关于频率计程序的设计,LCD控制程序,PSK调制解调的控制程序,MSK调制解调控制程序,电梯控制程序,TLC5510控制程序,基带码发生器程序,电子琴程序,自动售货机程序,电子时钟程序,步进电机控制定位系统,波形发生器,出租车计价器,ADCO809-Procedures regarding the design of frequency meter, LCD control procedures, PSK modulation and demodulation of the control procedures, MSK modulation and demodulation control procedures, procedures for elevator control, TLC5510 control procedures, base-band code generator procedures, organ procedures vending machine procedures, electronic clock procedures, stepper motor control of positioning systems, waveform generator, Taximeter, ADCO809
Platform: | Size: 1277952 | Author: chenjy | Hits:

[OtherTLC5510-VHDL

Description: tcl5510的控制程序,采用硬件描述语言VHDL编写,对与刚入门的学者有很大的帮助-tcl5510 control procedures, the use of VHDL hardware description language to prepare for entry-level academics and just very helpful
Platform: | Size: 3072 | Author: chenqin | Hits:

[VHDL-FPGA-Verilogtlc5510

Description: TI公司的TLC5510的用VHDL写的控制器及其仿真-TI' s TLC5510 use the controller to write VHDL and its simulation
Platform: | Size: 1239040 | Author: yangzhibin | Hits:

[VHDL-FPGA-VerilogTLC5510

Description: 德州仪器的告诉ADTLC5510的控制电路设计,用VHDL语言编写-Texas Instruments ADTLC5510 tell the control circuit design, VHDL language
Platform: | Size: 3072 | Author: wang | Hits:

[Othere

Description: 《EDA技术实用教程》实验选编 专题一:计数分频器设计 4 专题二:存储器定制 7 实验一:快速乘法器电路设计 11 实验二:高速数字相关器设计 17 实验三:TLC5510高速A/D转换器控制 21 实验四:直接数字频率合成器(DDFS)设计 23 实验五:基于直接数字频率合成技术的任意波形发生器-" EDA technology practical course" Selected experimental one topic: the design count crossovers feature 4 2: 7 experiment a custom memory: Fast multiplier circuit design of 11 experiments II: the design of high-speed digital correlator 17, the experiment three: TLC5510 high-speed A/D converter control 21 of the experiment four: Direct Digital Frequency Synthesizer (DDFS) experimental design, 23 5: Based on Direct Digital Synthesis technology, arbitrary waveform generator
Platform: | Size: 2693120 | Author: 耿守浩 | Hits:

[VHDL-FPGA-VerilogTLC5510

Description: 基于FPGA的TLC5510控制器的设计VHDL源码-FPGA-based controller design TLC5510 the VHDL source code
Platform: | Size: 1142784 | Author: sky | Hits:

[VHDL-FPGA-Verilogad5510

Description: TLC5510 的状态机控制程序,控制方法简单,并已经测试通过。-TLC5510 control procedures of the state machine, control method is simple and has the test.
Platform: | Size: 1024 | Author: liangwh | Hits:

[VHDL-FPGA-VerilogTLC5510

Description: 文件名:TLC5510.vhd 功能:基于VHDL语言,实现对高速A/D器件TLC5510控制 -File Name: TLC5510.vhd features: Based on the VHDL language, to achieve high-speed A/D control devices TLC5510
Platform: | Size: 3072 | Author: Aria | Hits:

[VHDL-FPGA-VerilogTLC5510

Description: tlc5510的vhdl程序,有详细的工程文件,为初学者提供很好多的资料-tlc5510 of vhdl procedures, detailed engineering documents, in order to provide a good amount of information for beginners
Platform: | Size: 35840 | Author: wangyl | Hits:

[Software EngineeringTLC5510

Description: 基于VHDL语言,实现对高速A/D 器件TLC5510 控制-Based on the VHDL language, to achieve high-speed A/D control devices TLC5510
Platform: | Size: 1024 | Author: Aaron Chen | Hits:

[VHDL-FPGA-VerilogTLC5510

Description: VHDL实现对TLC5510的控制,带有signaltap仿真图-VHDL implementation of the TLC5510 control, with signaltap simulation diagram
Platform: | Size: 3077120 | Author: 成天 | Hits:
« 12 »

CodeBus www.codebus.net