Welcome![Sign In][Sign Up]
Location:
Search - verilog traffic light controller

Search list

[VHDL-FPGA-Verilogtraffic

Description: 利用Verilog实现交通灯控制 Quartus II平台实现仿真 -Verilog realize the use of traffic lights to control Quartus II simulation platform
Platform: | Size: 145408 | Author: 许东滨 | Hits:

[VHDL-FPGA-Verilog3_4×4

Description: verilog 键盘输入程序,用于led灯的显示-Verilog keyboard input program for led lights display
Platform: | Size: 627712 | Author: tang | Hits:

[VHDL-FPGA-Verilogtraffic_controller

Description: it is a verilog code written for traffic light controller will synthesize in xinlix ise 8.2i.i have tested it om my kit.[i mae my own kit for spartan2 device].it is a state machine based code.-it is a verilog code written for traffic light controller will synthesize in xinlix ise 8.2i.i have tested it om my kit.[i mae my own kit for spartan2 device].it is a state machine based code.
Platform: | Size: 34816 | Author: yasir ateeq | Hits:

[VHDL-FPGA-Verilogjtd

Description: 这个是用verilog语言编写的基于FPGA的交通灯控制器,分别控制四个方向上的交通灯的通断-The verilog language is FPGA-based traffic light controller, respectively, the four direction control of traffic lights-off
Platform: | Size: 48128 | Author: jyb | Hits:

[VHDL-FPGA-Verilogtlc

Description: traffic light controller in verilog
Platform: | Size: 4096 | Author: deepak | Hits:

[VHDL-FPGA-Verilogtrafic_light_controller

Description: verilog code for traffic light controller on altera kit epc16q240c8.
Platform: | Size: 1245184 | Author: devesh | Hits:

[VHDL-FPGA-Verilogverilog_code

Description: Verilog code for Traffic Light Controller, Real Time Clock
Platform: | Size: 15360 | Author: Vinodh | Hits:

[Embeded-SCM Developtraffic_light

Description: A simple traffic light controller Verilog code
Platform: | Size: 152576 | Author: firass | Hits:

[VHDL-FPGA-VerilogLED_CONCTROLER

Description: VERILOG语言实现的交通灯控制器,包括工程,源码,及说明文档,对学习很好,已经经过验证.-VERILOG language of the traffic light controller, including engineering, source code, and documentation, to learn well, has been verified.
Platform: | Size: 386048 | Author: 刘成岩 | Hits:

[VHDL-FPGA-VerilogTraffic-Light-Controller

Description: verilog语言实现交通指示灯的设计,红绿黄灯亮的时间间隔不同,由时钟控制。-Verilog language realization the design of the traffic lights
Platform: | Size: 19456 | Author: zhangxina | Hits:

[VHDL-FPGA-Verilogverilog-ex

Description: traffic light controller.
Platform: | Size: 2048 | Author: bikram | Hits:

[Software EngineeringtrafficLight_editedversion

Description: Traffic light problems in verilog code. Consider a controller for traffic at the intersection of a main highway and a country road The traffic signal for the main highway gets highest priority because cars are continuously present on the main highway. Thus, the main highway signal remains green by default. Occasionally, cars from the country road arrive at the traffic light signal. The traffic signal for the country road must turn green only long enough to let the cars on the country road go. As soon as there are no cars on the country road, the country road traffic signal turns yellow and then red and the traffic signal on the main highway turns green again. There is a sensor to detect cars waiting on the country road. The sensor sends a signal X as input to the controller. X=1 if there are cars on the country road otherwise, X=0. There are delays on transitions of states (Delay of Red & Green lights is longer than Yellow light).-Traffic light problems in verilog code. Consider a controller for traffic at the intersection of a main highway and a country road The traffic signal for the main highway gets highest priority because cars are continuously present on the main highway. Thus, the main highway signal remains green by default. Occasionally, cars from the country road arrive at the traffic light signal. The traffic signal for the country road must turn green only long enough to let the cars on the country road go. As soon as there are no cars on the country road, the country road traffic signal turns yellow and then red and the traffic signal on the main highway turns green again. There is a sensor to detect cars waiting on the country road. The sensor sends a signal X as input to the controller. X=1 if there are cars on the country road otherwise, X=0. There are delays on transitions of states (Delay of Red & Green lights is longer than Yellow light).
Platform: | Size: 1024 | Author: awerjiop | Hits:

[File Formatcodes

Description: verilog code for traffic light controller and test bench for verification purpose
Platform: | Size: 3072 | Author: kittu | Hits:

[OtherTraffic

Description: 交通灯控制器的Verilog代码,采用了三段式的状态机描述,适合学习和练习,包括了验证代码-A Verilog code of Traffic light controller, using a three-stage state machine description suitable for learning and practice, including the verification code
Platform: | Size: 1024 | Author: 故都 | Hits:

[VHDL-FPGA-Verilogtraffic-light

Description: Verilog based traffic light controller source code
Platform: | Size: 1024 | Author: pravat | Hits:

[VHDL-FPGA-Verilogtraffic_C4_6

Description: this a traffic light controller programme. the code is wirted by verilog hdl. -this is a traffic light controller programme. the code is wirted by verilog hdl.
Platform: | Size: 312320 | Author: Waldo | Hits:

[ELanguagefpga4_123

Description: Verilog code for traffic light controller and vending machine
Platform: | Size: 94208 | Author: akkijamzala | Hits:

[VHDL-FPGA-VerilogNew-Compressed-(zipped)-Folder-(5)

Description: traffic light controller verilog code modelsim tested
Platform: | Size: 1024 | Author: MPJ | Hits:

[VHDL-FPGA-Verilogtraffic_controller

Description: 一款交通灯控制芯片的verilog源码,该源码通过仿真并在FPGA上运行成功,可以实现上位机操作控制交通灯的工作模式:两相模式和四相模式。上位机操作通过串口调试助手来完成。源码中与上位机的接口采用的是UART接口。-This is a verilog code for a kind of traffic light controller. The code was simulated and verificated on FPGA. When the code works on FPGA, it can be communicated with PC using serial debugging assistant. The PC can set the mode for traffic light controller: two-phase mode or four-phase mode. In the code, the serial interface is UART.
Platform: | Size: 7168 | Author: 耿瑞 | Hits:

[VHDL-FPGA-Verilogjiaotongdeng_fuza

Description: 本文基于FPGA技术的发展和Quartus II开发平台,实现路口交通灯控制器是一种解决方案。使用Verilog HDL硬件描述语言来描述语言程序的分频器模块,控制模块,数据解析模块,显示译码模块和段选位选模块,五个模块,并通过各个模块程序之间的端口合理连接和协调,成功设计出交通信号灯控制电路。在Quartus II环境下模拟,生成顶层文件下载后,在FPGA EP2C5Q208器件进行验证。(Based on the development of FPGA technology and the development platform of Quartus II, the realization of traffic lights controller at intersection is a solution. The Verilog HDL hardware description language is used to describe the frequency divider module of the language program, the control module, the data parsing module, the decoding module and the segment selection and selection module, five modules, and the communication and communication light control circuit is successfully designed through the reasonable connection and coordination of the ports between each module program. In the Quartus II environment, the simulation generates top-level files after downloading in FPGA The EP2C5Q208 device is verified.)
Platform: | Size: 5611520 | Author: 威威谈谈 | Hits:

CodeBus www.codebus.net