Welcome![Sign In][Sign Up]
Location:
Search - verilog sine

Search list

[assembly languagesine

Description: 用verilog语言编的正弦波发生器,可以用QuartusII来打开这个源码,也可以转换成VHDL语言
Platform: | Size: 104887 | Author: 雨孩 | Hits:

[Other resourcesine

Description: Verilog编程,利用FPGA实现两路正弦波的信号输出,也可以扩展成六路正弦输出
Platform: | Size: 4792168 | Author: 陈剑 | Hits:

[VHDL-FPGA-Verilog用modelsim仿真一个正弦波产生程序

Description: 用modelsim仿真一个正弦波产生程序-modelsim simulation using a sine wave generated procedures
Platform: | Size: 68608 | Author: 阿乐 | Hits:

[VHDL-FPGA-VerilogCORDIC

Description: 用verilog写的CORDIC算法实现,很适合做超越函数的运算。通常用于实现正弦乘法,或者坐标变换。-The cordic arithmetic implemented by verilog is adapted to exceed function.It is usually used to implement sine multiplication or coordinate tuansform.
Platform: | Size: 4096 | Author: diskmps | Hits:

[VHDL-FPGA-Verilog8bitsine

Description: 8bit采样sine波形发生器,一共两个文件,各自用VHDL和VERILOG编写,通信开发平台专用-8bit sampling sine wave generator, a total of two papers, each with VHDL and VERILOG preparation, communications development platform dedicated
Platform: | Size: 5120 | Author: 王刚 | Hits:

[CommunicationDDS_VERILOG

Description: 本例给出了DDS的VERIOG的程序事例,可发生正弦\余弦等波形,适应与通信方面的硬件实现!-the cases presented DDS VERIOG procedures example, can occur sine \ cosine wave such as, Adaptation and communications hardware realization!
Platform: | Size: 3072 | Author: 陈榧 | Hits:

[VHDL-FPGA-VerilogDDS_Power

Description: FPGA上的VERILOG语言编程。通过查找表实现直接数字频率合成。在主控部分通过键盘选择正弦波,方波,三角波,斜波,以及四种波形的任意两种的叠加,以及四种波形的叠加;通过控制频率控制字C的大小,以控制输出波形频率,实现1Hz的微调;通过地址变换实现波形相位256级可调;通过DAC0832使波形幅值256级可调;通过FPGA内部RAM实现波形存储回放;并实现了每秒100HZ扫频。-FPGA on the verilog language programming. Lookup table through direct digital frequency synthesis. In part through the control of the keyboard to choose sine, square, triangle wave, sloping wave, and four arbitrary waveform two superposed and the stack of four waveform; by controlling the frequency control word on the size, in order to control the output waveform frequency, 1 Hz to achieve the fine-tuning; Address transform through waveform phase adjustable 256; DAC0832 so through waveform amplitude adjustable 256; FPGA through internal RAM to the waveform storage intervals; and achieve a 100 per second sweep 9999.
Platform: | Size: 16384 | Author: 田世坤 | Hits:

[Embeded-SCM DevelopDDSforsinandcos

Description: 用VHDL实现的DDS,可输出正弦、余弦波形。将所有文件放在一个工程文件里,再分别生存模块,按原理图连接及可-using VHDL DDS, output sine, cosine wave. All documents will be placed on a project document, respectively survival module, according to diagram and can link
Platform: | Size: 7168 | Author: 何明均 | Hits:

[VHDL-FPGA-Verilogsinewave

Description: 6通道正弦波发生器,产生频率,相位,幅值都可调的正弦波形-6-channel sine wave generator, resulting in frequency, phase, amplitude of the sinusoidal waveform are adjustable
Platform: | Size: 1024 | Author: 桑武斌 | Hits:

[assembly languagesine

Description: 用verilog语言编的正弦波发生器,可以用QuartusII来打开这个源码,也可以转换成VHDL语言-Verilog language prepared by the sine wave generator can be used QuartusII to open the source code can also be converted into VHDL language
Platform: | Size: 104448 | Author: 雨孩 | Hits:

[VHDL-FPGA-Verilogsine

Description: 用VerilogHDL实现的产生Sine波形全部程序 个人验证后收藏的。-VerilogHDL have achieved with Sine Waveform all procedures after the collection of personal authentication.
Platform: | Size: 3566592 | Author: 孙浩 | Hits:

[VHDL-FPGA-Verilogsine

Description: Verilog编程,利用FPGA实现两路正弦波的信号输出,也可以扩展成六路正弦输出-Verilog programming, the use of FPGA realize two sinusoidal output signals can also be extended into a six-way sinusoidal output
Platform: | Size: 4792320 | Author: 陈剑 | Hits:

[SCMsignal

Description: verilog写的串口控制信号发生器,能通过用串口控制产生正弦波方波等信号-written in verilog serial control signal generator, can be generated using serial control, such as sine wave square wave signals
Platform: | Size: 5519360 | Author: ray | Hits:

[VHDL-FPGA-VerilogDDS_FINAL

Description: My project is on Direct Digital Synthesiser using Verilog HDL.This project is doing by me on july 2009 in summer training at NIT Kurukshetra, India. This DDS system generate the square wave, Triangular wave,Sine wave and saw wave with different frequency. We can change the frequency using frequency selector input. Please accept this project. We use the SPARTAN 3E 500 device to implement it.
Platform: | Size: 437248 | Author: Raju Kumar | Hits:

[VHDL-FPGA-Verilogfullsine

Description: This a code for sine wave generation in modelsim. The code is written in verilog. An LUT has to be added to this program to work completely.-This is a code for sine wave generation in modelsim. The code is written in verilog. An LUT has to be added to this program to work completely.
Platform: | Size: 1024 | Author: Jithu | Hits:

[VHDL-FPGA-VerilogROM

Description: Verilog sine的查找表,相信大家会用到-Verilog sine lookup table, I believe we will use
Platform: | Size: 3072 | Author: wuzhongpeng | Hits:

[VHDL-FPGA-VerilogVerilog-hdlFPGA

Description: 关于FPGA的提高篇,Verilog HDL语言写的, 包含LCD控制VHDL程序与仿真,AD/DA,MASK,FSK,PSK,正弦波发生器,等等经典程序-Articles on improving the FPGA, Verilog HDL language, and includes LCD control procedures and VHDL simulation, AD/DA, MASK, FSK, PSK, sine wave generator, and so the classic procedure
Platform: | Size: 1181696 | Author: chenfeihu | Hits:

[VHDL-FPGA-VerilogMATLAB-and-verilog

Description: 1 采用正弦波,方波进行同步调制,实现调制信号、已调信号、解调信号的波形、频谱以及解调器输入输出信噪比的关系。 2 采用Verilog语言编写有符号的五位乘法器 3 实现数字与模拟调制-A sine wave, square wave synchronous modulation to achieve the modulation signal, the modulated signal, the demodulated signal waveform, spectrum and signal to noise ratio of the demodulator input and output relationship. 2 using Verilog language has signed five digital and analog multiplier 3 modulation
Platform: | Size: 559104 | Author: 许学真 | Hits:

[VHDL-FPGA-Verilogahdl--sine-wave-code-with-rom-look-up-table_imp.r

Description: hi this an verilog codes-hi this is an verilog codes
Platform: | Size: 8192 | Author: praha | Hits:

[Documents三角函数的Verilog HDL语言实现

Description: 以Actel FPGA作为控制核心,通过自然采样法比较1个三角载波和3个相位差为1 200的正弦波,利用Verilog HDL语言实现死区时间可调的SPWM全数字算法,并在Fushion StartKit开发板上实现SPWM全数字算法。(With Actel FPGA as the control core, between 1 and 3 triangular carrier phase difference of 1200 sine wave by natural sampling, realize the adjustable dead time using Verilog HDL language of the SPWM digital algorithm and digital SPWM algorithm is realized in Fushion StartKit development board.)
Platform: | Size: 148480 | Author: 所罗门 | Hits:
« 12 3 4 5 6 »

CodeBus www.codebus.net