Welcome![Sign In][Sign Up]
Location:
Search - verilog medi

Search list

[OtherFind_medium_value_co-design_of_C_and_Verilog

Description: A code writing by Verilog which can find medium value. With a C file to see the simulation results. A co-design example of C and Verilog.
Platform: | Size: 11264 | Author: Annbb | Hits:

CodeBus www.codebus.net