Welcome![Sign In][Sign Up]
Location:
Search - verilog matlab

Search list

[source in ebookSystemC片上系统设计源代码

Description: SystemC片上系统设计的源代码: 书籍介绍: SystemC是被实践证明的优秀的系统设计描述语言,它能够完成从系统到门级、从软件到硬件、从设计到验证的全部描述。SystemC 2.01已作为一个稳定的版本提交到IEEE,申请国际标准。 本书为配合清华大学电子工程系SystemC相关课程的教学而编写。全书分9章,内容包括:硬件描述语言的发展史;SystemC出现的历史背景和片上系统设计方法学概述;SystemC的基本语法;SystemC的寄存器传输级设计和SystemC的可综合语言子集,以及根据作者设计经历归结的RTL设计准则和经验;接口、端口和通道等SystemC行为建模实例——片上总线系统;SystemC与VHDL/Verilog HDL的比较;SystemC的验证标准和验证方法学;SystemC开发工具SystemC_win、WaveViewer等,以及使用MATLAB进行SystemC算法模块的验证。每一章都精心编写了课后习题以配合教学的需要。 本书可作为大学电子设计自动化(EDA)相关课程教材,也可供电子工程技术人员作为SystemC设计、应用开发的技术参考书。本书丰富的实例源代码特别适合初学者根据内容实际运行、体会,举一反三,以掌握SystemC进行应用系统设计。 -SystemC system on chip design source : books introduced : SystemC has been proven in practice is an excellent system design description language, it can be completed from the system level to the door, from hardware to software, from design to verification of all description. SystemC has 2.01 as a stable version submitted to the IEEE, the application of international standards. The book to tie in electronic engineering at Tsinghua University SystemC related courses and preparation of teaching. Book nine chapters, including : hardware description language development history; SystemC is the historical background and system-on-chip design methodology outlined; SystemC basic grammar; SystemC register-transfer-level design and synthesis of SystemC language subset, as well as design experience b
Platform: | Size: 2640896 | Author: c.li | Hits:

[VHDL-FPGA-Verilogtwo_d_fir

Description: FIR FILTER verilog code-FIR FILTER Verilog code
Platform: | Size: 26624 | Author: QQ | Hits:

[VHDL-FPGA-Verilogbeipin

Description: 用verilog写的cpld的各种分频程序,希望大家指正,谢谢!-using Verilog cpld written by the various sub-frequency procedures in the hope that we stand corrected, thank you!
Platform: | Size: 1024 | Author: 沈柱 | Hits:

[VHDL-FPGA-Verilogbfm

Description: Verilog HDL编写的总线功能模型,十分有用,需要的下载-Verilog HDL prepared by the bus functional model is useful, it needs to download
Platform: | Size: 2048 | Author: wyl | Hits:

[VHDL-FPGA-Verilogfftmatlab

Description: fft在dspbuilder下产生VHDL源码及其测试激励文件的matlab模型,在modelsim下仿真通过-fft in dspbuilder under VHDL source code and test incentives document matl ab model, the simulation under through modelsim
Platform: | Size: 7168 | Author: zqh | Hits:

[Program doc_2_1_7_viterbidecoder

Description: 基于MATLAB的2_1_7_维特比译码器的并行算法实现-MATLAB-based Viterbi decoder 2_1_7_ parallel algorithm
Platform: | Size: 311296 | Author: 罗青锋 | Hits:

[VHDL-FPGA-Verilog05805

Description: 无线通信fpga设计matlab、verilog代码
Platform: | Size: 205824 | Author: zhangxi | Hits:

[matlab16qam

Description: 用matlab做的qam的基本原理仿真,清晰明了,有相应结果,可作为qam调制的入门教程。-QAM matlab to do with the basic principles of simulation, clarity, have corresponding results can be used as QAM Modulation Tutorial.
Platform: | Size: 1024 | Author: 阿飞 | Hits:

[VHDL-FPGA-Verilogverilog

Description: verilog语言例题集锦 包含加法器,乘法器,串并转换器等verilog源代码-Example Collection contains verilog language adder, multiplier, and converters, such as string verilog source code
Platform: | Size: 113664 | Author: 刘佳扬 | Hits:

[matlabwierlesscommunicationfpgadesignmatlabverilogcode.r

Description: 无线通信FPGA设计的所有源码,具有良好的使用价值-verilog matlab ISE
Platform: | Size: 214016 | Author: 吕鑫宇 | Hits:

[VHDL-FPGA-Verilogfir_hdl

Description: 一个 FIR 滤波器的 verilog 实现, 与 matlab 产生的 reference code 相互验证。-Verilog a FIR filter to achieve, with the reference code generated by matlab mutual authentication.
Platform: | Size: 97280 | Author: wei | Hits:

[VHDL-FPGA-VerilogMATLAB-and-verilog

Description: 1 采用正弦波,方波进行同步调制,实现调制信号、已调信号、解调信号的波形、频谱以及解调器输入输出信噪比的关系。 2 采用Verilog语言编写有符号的五位乘法器 3 实现数字与模拟调制-A sine wave, square wave synchronous modulation to achieve the modulation signal, the modulated signal, the demodulated signal waveform, spectrum and signal to noise ratio of the demodulator input and output relationship. 2 using Verilog language has signed five digital and analog multiplier 3 modulation
Platform: | Size: 559104 | Author: 许学真 | Hits:

[VHDL-FPGA-VerilogFPGA-verilog-matlab

Description: 《无线通信FPGA设计》一书中例子的Matlab及verilog代码,非常详细-"Wireless FPGA design," a book example of Matlab and verilog code, very detailed
Platform: | Size: 208896 | Author: 虎斑兜兰 | Hits:

[VHDL-FPGA-VerilogADC_Data_Recv_Module

Description: 接收机测试输入信号, 生成正余弦波,采样率、频率、幅度、相位可调节 并将生成的数据进行输出 压缩包包括Verilog代码、testbench代码、word文档 matlab仿真代码(The receiver tests the input signal, Generation of positive cosine wave, sampling rate, frequency, amplitude, phase can be adjusted And output the generated data The compressed package includes the Verilog code, the testbench code Matlab simulation code)
Platform: | Size: 512000 | Author: nokkk | Hits:

[VHDL-FPGA-Verilog信号分析与处理——MATLAB语.part1

Description: ① Verilog的抽象级别 ② Verilog的模块化设计 ③ 如何给端口选择正确的数据类型 ④ Verilog语言中latch的产生 ⑤ 组合逻辑反馈环 ⑥ 阻塞赋值与非阻塞赋值的不同 ⑦ FPGA的灵魂状态机 ⑧ 代码风格的重要性((1) the abstract level of Verilog The modular design of Verilog How to select the correct data type for the port (4) the generation of latch in Verilog language Combinational logic feedback loop The blocking and nonblocking assignment assignment different The soul of state machine FPGA The importance of the code style)
Platform: | Size: 1457152 | Author: mmelody | Hits:

[VHDL-FPGA-VerilogAlter官方FFT程序(使用Verilog编写)

Description: 其主要使用verilog编写fft程序主体,之后通过quartus和matlab实现对fft程序的测试,可以很好做到自动化验证(The main use of verilog prepared fft main program, and then achieved by quartus and matlab fft program testing, you can do a good job of automated verification)
Platform: | Size: 995328 | Author: 未曾走远 | Hits:

[VHDL-FPGA-Verilog8815397fft

Description: 基于MATLAB/FPGA的fft的verilog实现。(Verilog implementation of FFT based on MATLAB/FPGA)
Platform: | Size: 2048 | Author: hlayumi | Hits:

[AI-NN-PRVerilog数字系统设计教程%28第二版%29 夏宇闻.pdf

Description: 设计词: 数字电路 神经网络 matlab 神经 matlab 仿真 遗传算法(sm3_rst_n, csm2_rst_n, csm1_rst_n, csm0_rst_n, b_10gf_rst_n, f_10gf_rst_n, b_ge_rst_n, f_ge_rst_n, mucus_rst_n, daughter_rst_n, csm0_led_n, csm1_led_n, csm2_led_n, csm3_led_n, hdd_cf_led_n, in_out_irq_n, csm_run_irq_n,)
Platform: | Size: 7146496 | Author: liang1234!!! | Hits:

[matlab数字滤波器的MATLAB与FPGA实现例程代码567

Description: 数字滤波器的MATLAB与FPGA实现——杜勇(配套光盘) 程序源码,配合电子书使用可以很好的学习数字滤波器的MATLAB与FPGA实现,完整代码,仿真良好,第5、6、7章((MATLAB and FPGA implementation of digital filter -- Du Yong (supporting CD-ROM) program source code, can learn matlab and FPGA implementation of digital filter well with e-book use, complete code, good simulation, the chapter 5 6 7))
Platform: | Size: 28524544 | Author: wanmei10 | Hits:

[matlabChapter_9

Description: 数字滤波器的MATLAB与FPGA实现——杜勇(配套光盘) 程序源码,配合电子书使用可以很好的学习数字滤波器的MATLAB与FPGA实现,完整代码,仿真良好,第9章((MATLAB and FPGA implementation of digital filter -- Du Yong (supporting CD-ROM) program source code, can learn matlab and FPGA implementation of digital filter well with e-book use, complete code, good simulation, the chapter 9))
Platform: | Size: 8313856 | Author: wanmei10 | Hits:
« 12 3 4 5 6 7 8 9 10 »

CodeBus www.codebus.net