Welcome![Sign In][Sign Up]
Location:
Search - verilog debounce code

Search list

[VHDL-FPGA-VerilogDEBOUNCE

Description: 一个小程序,弹跳消除电路,可消除按健的毛刺干扰-a small procedure, bouncing elimination circuit, according to remove the burr-interference
Platform: | Size: 1024 | Author: 相耀 | Hits:

[VHDL-FPGA-Verilogsw_led

Description: 利用verilog编写的一个按键控制LED灯的代码,包括按键消抖-Using verilog write a code key control LED lights, including key debounce
Platform: | Size: 365568 | Author: 李才 | Hits:

[VHDL-FPGA-Verilogkey_debounce

Description: verilog实现的按键消抖源代码,初学fpga的可以学习下-implementation of key debounce verilog source code, beginners can learn from fpga
Platform: | Size: 349184 | Author: flier | Hits:

[Otheranjianxiaodou

Description: 基于verilog的按键消抖\\\\\\代码-Based on the verilog key debounce \ \ \ \ \ \ code
Platform: | Size: 1024 | Author: 王玲 | Hits:

[VHDL-FPGA-VerilogKEYBOARD

Description: 用Verilog实现的按键检测及消抖程序代码,工程中很有实用价值。-Achieved using Verilog key detection and debounce code, works great practical value.
Platform: | Size: 2048 | Author: 武磊 | Hits:

[VHDL-FPGA-Verilogdetect pushdown of a push-button

Description: this verilog code detect pushdown of a push-button and debounce at the same time
Platform: | Size: 590 | Author: random_ryan | Hits:

CodeBus www.codebus.net