Welcome![Sign In][Sign Up]
Location:
Search - urat

Search list

[Embeded-SCM Developurat

Description: 介绍了如何实现URAT。介绍了如何用VERILOG代码实现URAT。
Platform: | Size: 22792 | Author: xiexiao | Hits:

[Other resourceURAT

Description: URAT的VHDL设计及时序仿真、调试、测试。含有波形图
Platform: | Size: 2304 | Author: xiaoxi | Hits:

[VHDL-FPGA-VerilogURAT_VHDL

Description: URAT VHDL程序与仿真 各程序运行环境为MAXPLUS_-UART procedures and VHDL simulation environment for the operation of the procedures for MAXPLUS_
Platform: | Size: 93184 | Author: 王光辉 | Hits:

[CommunicationURAT

Description: 最常见的rs232通信的vhdl实现,经过实际使用验证-The most common communication RS232 VHDL realize, through actual use of authentication
Platform: | Size: 32768 | Author: 江泽民 | Hits:

[Embeded-SCM Developurat

Description: 介绍了如何实现URAT。介绍了如何用VERILOG代码实现URAT。-Introduce how to realize URAT. Introduce how to use Verilog code URAT.
Platform: | Size: 22528 | Author: xiexiao | Hits:

[VHDL-FPGA-VerilogUART_VHDL

Description: URAT异步通信接口的VHDL描述,可综合-URAT asynchronous communication interface VHDL description can be integrated
Platform: | Size: 667648 | Author: luyingc | Hits:

[SCMURAT

Description: MSP430F1611串口程序 MSP430F1611串口程序-MSP430F1611 serial serial procedures procedures MSP430F1611
Platform: | Size: 1024 | Author: 刘强 | Hits:

[VHDL-FPGA-VerilogURAT

Description: URAT的VHDL设计及时序仿真、调试、测试。含有波形图-URAT the VHDL design and timing simulation, debugging, testing. Waveform contains
Platform: | Size: 2048 | Author: xiaoxi | Hits:

[SCMurat

Description: tell you how to use the msp430f149 urat
Platform: | Size: 87040 | Author: mo | Hits:

[VHDL-FPGA-VerilogVHDLprogram

Description: VHDL的程序包,包括LED控制,LCD控制、DAC0832接口电路、URAT、FSK\PSK\MASK调制、波形发生器等。适合工程参考-VHDL package, including the LED control, LCD control, DAC0832 Interface Circuit, URAT, FSK \ PSK \ MASK modulation, such as waveform generator. Reference for the project
Platform: | Size: 1133568 | Author: | Hits:

[VHDL-FPGA-VerilogFPGA-URAT

Description: FPGA与PC串口自动收发程序,verilog源程序-FPGA and the PC serial port automatically sending and receiving process, verilog source code
Platform: | Size: 3072 | Author: niuqs | Hits:

[VHDL-FPGA-VerilogURAT_VHDL

Description: URAT VHDL程序与仿真,包括顶层程序与仿真,波特率发生器VHDL程序, UART发送器程序与仿真,UART接收器程序与仿真-URAT VHDL procedures and simulation, including the top-level procedures and simulation, VHDL program baud rate generator, UART transmitter and simulation program, UART receiver and simulation program
Platform: | Size: 32768 | Author: 葛棋棋 | Hits:

[Com Portuart

Description: urat 接收模块,大家看看,多多交流-urat
Platform: | Size: 5120 | Author: y | Hits:

[VHDL-FPGA-VerilogURAT-VHDL

Description: 关于URAT的VHDL程序设计与仿真,仿真通过-With regard to URAT the VHDL program design and simulation, simulation by
Platform: | Size: 32768 | Author: 海玲 | Hits:

[SCMurat

Description: 8051串口驱动,简易接口,与MAX232使用-max232
Platform: | Size: 2048 | Author: 程门门 | Hits:

[VHDL-FPGA-VerilogURAT

Description: Verilog硬件描述语言,RS232串口发送接收程序-Verilog hardware description language, RS232 serial port send and receive program
Platform: | Size: 1024 | Author: zhaoyf | Hits:

[VHDL-FPGA-VerilogURAT-VHDL

Description: URAT VHDL程序与仿真,各位可以利用一下,或者参考一下-URAT VHDL and simulation program, you can look at, or reference
Platform: | Size: 2048 | Author: 周三强 | Hits:

[VHDL-FPGA-Verilogmini-UART

Description: URAT资料,用verilog HDL编写,具有完整的信号描述和功能-URAT data write complete signal description and function, with verilog HDL
Platform: | Size: 51200 | Author: 牛玉祥 | Hits:

[VHDL-FPGA-Verilog8.8-URAT-VHDL

Description: URAT VHDL程序与仿真 URAT the VHDL program and Simulation-URAT the VHDL program and Simulation
Platform: | Size: 32768 | Author: ll | Hits:

[VHDL-FPGA-VerilogURAT

Description: 使用VHDL程序,编写的URAT 与仿真功能-The use of VHDL procedures and simulation functions written URAT
Platform: | Size: 32768 | Author: ludongdong | Hits:
« 12 3 4 5 6 »

CodeBus www.codebus.net