Welcome![Sign In][Sign Up]
Location:
Search - traffic signal controller vhdl

Search list

[Windows DevelopVHDLgdewrrrrrrrrrrrr

Description: 本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路口交通灯系统的控制器的硬件电路描述,通过编译、仿真,并下载到CPLD器件上进行编程制作,实现交通灯系统的控制过程。EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统调试的困难。因此,在设计中采用EDA技术,应用目前广泛应用的VHDL硬件电路描述语言,实现交通灯系统控制器的设计,利用MAXPLUSⅡ集成开发环境进行综合、仿真,并下载到CPLD可编程逻辑器件中,完成系统的控制作用。-the current design was chosen over a wide range of VHDL hardware description language circuit. Implementation of traffic lights at the junction of the controller hardware circuit description, compiler, simulation, to download and CPLD programming on production, traffic signal system to achieve the control process. EDA technology is used to design electronic products more advanced technology, designers can replace the complete electronic system design most of the work, but can directly from the process to amend the mistakes and system functions without the need for hardware circuits of support, both to shorten the development cycle, another significant cost savings by the electronic engineers of all ages. Achieving junction traffic signal system control many ways, using standard logic devic
Platform: | Size: 4245 | Author: jazvy | Hits:

[Other resourceTrafficLights_VHDL

Description: 交通灯信号控制器,VHDL语言编写,已实验通过,具体见RAR注释-traffic signal controller, VHDL language, experiment, see specific RAR Notes
Platform: | Size: 4207 | Author: 小花猫 | Hits:

[Other resource十字路口交通灯控制器设计

Description: 使用VHDL实现十字路口交通灯控制器设计,适用于初学者-use VHDL crossroads traffic signal controller design, applicable to beginners
Platform: | Size: 29495 | Author: 沈燮勇 | Hits:

[VHDL-FPGA-Verilog十字路口交通灯控制器设计

Description: 使用VHDL实现十字路口交通灯控制器设计,适用于初学者-use VHDL crossroads traffic signal controller design, applicable to beginners
Platform: | Size: 29696 | Author: 沈燮勇 | Hits:

[Windows DevelopVHDLgdewrrrrrrrrrrrr

Description: 本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路口交通灯系统的控制器的硬件电路描述,通过编译、仿真,并下载到CPLD器件上进行编程制作,实现交通灯系统的控制过程。EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统调试的困难。因此,在设计中采用EDA技术,应用目前广泛应用的VHDL硬件电路描述语言,实现交通灯系统控制器的设计,利用MAXPLUSⅡ集成开发环境进行综合、仿真,并下载到CPLD可编程逻辑器件中,完成系统的控制作用。-the current design was chosen over a wide range of VHDL hardware description language circuit. Implementation of traffic lights at the junction of the controller hardware circuit description, compiler, simulation, to download and CPLD programming on production, traffic signal system to achieve the control process. EDA technology is used to design electronic products more advanced technology, designers can replace the complete electronic system design most of the work, but can directly from the process to amend the mistakes and system functions without the need for hardware circuits of support, both to shorten the development cycle, another significant cost savings by the electronic engineers of all ages. Achieving junction traffic signal system control many ways, using standard logic devic
Platform: | Size: 4096 | Author: jazvy | Hits:

[assembly languageC51CrossLight

Description: 1.设计一个交通灯控制器。 2.利用学习机上的发光二极管,设定东、南、西、北4个方向,各3个灯(红、黄、绿)。交通灯控制器正常工作时,南北方向红灯亮3秒,黄灯闪2秒,绿灯亮3秒,以此类推。东西方向绿灯亮3秒,黄灯闪2秒,红灯亮3秒,以此类推。 3.设定两个紧急按钮,一个控制南北灯,一个控制东西灯。当按下相应的紧急键时,其控制方向的交通灯亮绿灯,其他方向的交通灯亮红灯,至自控键松开,恢复正常交通控制。 -1. Design of a traffic light controller. 2. Use of learning machine on the LED and set the East, South, West, North 4 direction, the three lights (red, yellow, green). Traffic signal controller normal working hours, the north- and south-bound red light three seconds, two seconds flashing yellow light, green light-three seconds, and so on. East-west direction green three seconds, two seconds flashing yellow light, red light three seconds, and so on. 3. Set two emergency buttons, a north-south control lights, a light control things. When pressing the corresponding key emergency, its control the traffic lights green, the other direction, the traffic lights class. Key to loose control and restore normal traffic control.
Platform: | Size: 10240 | Author: wangpeng | Hits:

[VHDL-FPGA-Verilogtraffic_control

Description: 设计制作一个用于十字路口的交通灯控制器 有一组绿、黄、红灯用于指挥交通,绿灯、黄灯和红灯的持续时间分别为20秒、5秒和25秒; 当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原状态,继续正常运行-design a crossroads for the traffic signal controller is a group in green, yellow and red lights to direct traffic. green, yellow and red, respectively for the duration of 20 seconds, five seconds and 25 seconds; When special circumstances (such as fire engines, ambulances and the like), in both directions were red light, stop time, when the special circumstances after the controller to restore the original state, continue normal operations
Platform: | Size: 2048 | Author: 飘来的南风 | Hits:

[VHDL-FPGA-VerilogTrafficLights_VHDL

Description: 交通灯信号控制器,VHDL语言编写,已实验通过,具体见RAR注释-traffic signal controller, VHDL language, experiment, see specific RAR Notes
Platform: | Size: 4096 | Author: 小花猫 | Hits:

[Windows DevelopTrafficLight

Description: 设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。-The design of a traffic signal controller, by a trunk and a branch road汇合成crossroads at the entrance to set up in each red, green, yellow three-color lights, red light curfew, green permit passage to a yellow light while driving vehicles parked in the ban have time outside the lane.
Platform: | Size: 282624 | Author: zhuzi200803 | Hits:

[VHDL-FPGA-Verilog1

Description: 根据交通灯控制器的功能与要求,将其总体电路分为分频器、信号控制器两个模块。-According to the traffic light controller functions and the requirements of the overall circuit is divided into its divider, the signal controller two modules.
Platform: | Size: 4096 | Author: li | Hits:

[OtherEDA

Description: 交通信号控制器,基于FPGA的交通信号控制器的介绍和相关代码,使用VHDL语言编写,单交叉路口-Traffic signal controller, traffic signal controller based on FPGA description and related codes, using VHDL language, single intersection
Platform: | Size: 114688 | Author: 列兵唐龙 | Hits:

[Otherlkj

Description: 基于VHDL的两种交通灯信号控制器的设计与比较 -Vhdl based on two of the traffic light signal controller the design and more
Platform: | Size: 198656 | Author: 陈军红 | Hits:

[VHDL-FPGA-Verilogjiaotongdengmokuai

Description: 基于FPGA的交通灯控制器,语言是VHDL-Traffic signal controller based on FPGA, VHDL language
Platform: | Size: 5120 | Author: 行者 | Hits:

[VHDL-FPGA-Verilogtrafficlight

Description: 交通信号控制器的VHDL设计 设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。要求: (1) 交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2) 交通灯红变绿是直接进行的,没有间隔时间; (3) 主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4) 在任意时间,显示每个状态到该状态结束所需的时间 -Traffic signal controller VHDL design design tasks: the crossroads traffic lights simulate the working process, the use of two sets of experimental board red, yellow, green LED as traffic lights, to design a traffic signal controller. Requirements: (1) traffic lights from green to red, the 4 seconds the yellow light interval (2) traffic lights red to green is a direct, no interval of time (3) main road on the green time is 40 seconds , branch roads of the green time of 20 seconds (4) at any time, display the status of each state to the end of time
Platform: | Size: 1317888 | Author: 陈仲海 | Hits:

[VHDL-FPGA-Verilogtrafficlight

Description: 基于VHDL的十字路口交通灯控制系统设计与实现,定时器模块由25S、5S、20S三个定时器组成,分别确定相应信号灯亮的时间。三个定时器采用以秒脉冲为时钟的计数器实现。eg、ey、er分别是三个定时器的工作使能信号,tm25、tm5、tm20是三个定时器的计数结束指示信号。 控制模块是对系统工作状态的转换进行控制,根据交通规则可得系统状态转换情况。ar、ay、ag br、by、bg分别表示由控制器输出的A道和B道的红、黄、绿信号灯亮的时间;eg、ey、er分别表示由控制器输出的控制25S、5S、20S三个定时器的工作使能信号。-Based on VHDL crossroads traffic lights control system design and implementation, the timer module from 25S, 5S, 20S, three timer composition, respectively, to determine the corresponding signal light time. Three timer a second pulse of the clock counter. eg, ey, er, respectively, three timer enable signal tm25, tm5, of tm20 the end of the three timers count indication signal. The control module to control the conversion of the system working state conversions in accordance with the rules of the road can get system status. ar, ay, ag br, by, bg, respectively output by the controller of A and B Road, red, yellow, green signal light eg, ey, er, respectively, by the control of the controller output 25S, 5S, 20S, three timer enable signal.
Platform: | Size: 185344 | Author: 蔡利波 | Hits:

[VHDL-FPGA-Verilogjiaotongdeng

Description: 交通信号灯自动控制器,能下载到FPGA开发板,自动交通灯控制程序,由VHDL编写,环境为QUTUS2-Traffic signal controller, can be downloaded to the FPGA development board, automatic traffic light control procedures, written by VHDL environment QUTUS2
Platform: | Size: 1808384 | Author: dengnana | Hits:

[Software EngineeringVHDL-traffic-light

Description: 交通信号控制器VHDL设计 1、设计一个南北方向为主干道,东西方向为支干道的; 2、选择一个标准时钟发生电路,为电路提供一个标准1HZ信号; 3、(1)交通灯从绿变红时,有5秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为50秒,支干道的绿灯时间为30秒; -Traffic signal controller VHDL design
Platform: | Size: 188416 | Author: 飞羽 | Hits:

[VHDL-FPGA-VerilogTrafficLight

Description: 通过硬件描述语言VHDL编程,实现交通灯功能,要求如下:   ① 车辆传感器(C),检测车辆通行情况,用于主干道的优先权控制; ② 主干道公路路口安装有人员通过请求按钮(PQ),一旦有请求信息,控制器应按放行处理,否则按默认方式处理; ③ Online控制信号由交通控制中心发出,(Online=1)一旦它有效,则主干道放行,十字交叉路口控制器“失效”,Online=0十字交叉路口控制器恢复控制权;   ④ 当次干道公路无车时,始终保持次干道公路红灯亮,主干道绿灯亮; ⑤ 当次干道公路有车时,而主干道通车时间已经超过它的最短通车时间时,禁止主干道通行,让次干道公路通行。主干道最短通车时间为25s ; ⑥ 当次干道公路和主干道都有车时,按主干道通车25s,次干道公路通车16s交替进行;   ⑦ 不论主干道情况如何,次干道公路通车最长时间为16s; ⑧ 在每次由绿灯亮变成红灯亮的转换过程中,要亮5s时间的黄灯作为过渡; ⑨ 用两组开关代替传感器作为检测人员通过请求和车辆是否到来的信号。用红、绿、黄三种颜色的发光二极管作交通灯;   ⑩ 要求显示时间,倒计时。-Through hardware description language VHDL programming, traffic lights functional requirements are as follows: ① vehicle sensors (C), detection of vehicle traffic situation, the priority control for the main road ② trunk road junctions equipped with staff through a request button (PQ), if there is a request message, the controller should be released, otherwise handled by default ③ Online control signal issued by the traffic control center, (Online = 1) if it is valid, trunk release, cross the intersection Controller "failure", Online = 0 crossroad controller resumes control over ④ When the secondary trunk road no car, and always maintain secondary roads road red light, green light main road ⑤ When the secondary trunk road with the car, while the trunk opening time has exceeded its minimum opening time, prohibited roads impassable, so that secondary roads roads impassable. Trunk shortest time for the opening 25s ⑥ When the sub-trunk roads and main roads have vehicle, accordin
Platform: | Size: 8922112 | Author: 韩彩英 | Hits:

[VHDL-FPGA-Verilogjiaotongxinhao

Description: vhdl语言编写的,在QuartusII下,交通信号灯控制器-vhdl language, in QuartusII, the traffic signal controller
Platform: | Size: 1024 | Author: 刘海 | Hits:

[VHDL-FPGA-VerilogEDA-FPGA-traffic

Description: 该设计严格按照现实中的交通灯设计,利用vhdl硬件描述语言实现,设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。 2、 红、绿、黄发光二极管作信号灯,。 3、 主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。 4、 主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。 5、 在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。 -The design is in strict accordance with the reality of the traffic light design using vhdl hardware description language, a traffic signal controller design, consists of a main road and branch roads merge into a crossroads at the entrance to each set of red, green and yellow color lights, red light no-go, the green light to allow passage, the yellow light to travel in a vehicle parked in the time to cut the line off-line. 2, red, green and yellow LEDs for lights. 3, the main road in the normally allows passage of state, branch roads to car traffic is permitted. A green light when the main road, branch roads red green light when the branch roads, main roads red. 4, the main, branch roads are car, alternating between the two to allow access, trunk release every 45 seconds, every branch roads clearance 25 seconds, and the establishment of 45 seconds, 25 seconds chronograph, the display circuit. 5, each time by a green light to red light conversion process, to bright yellow as a trans
Platform: | Size: 3492864 | Author: 刘鹏坤 | Hits:

CodeBus www.codebus.net